testando

Dependencies:   mbed TextLCD2

Committer:
enricoan
Date:
Sat May 30 21:20:23 2020 +0000
Revision:
56:e748b9bd5e3c
Parent:
55:4d071fb49f24
Child:
57:e26deee15b8f
correcoes em telas

Who changed what in which revision?

UserRevisionLine numberNew contents of line
enricoan 29:76d952358896 1 //local para inclusao de bibliotecas
enricoan 10:4f49e9859535 2 #include "mbed.h"
enricoan 10:4f49e9859535 3 #include "TextLCD.h"
amandarm 31:acb062a05984 4 #include "funcoes_ihm.h"
amandarm 38:2a0e12322e72 5 #include "classe.h"
enricoan 33:a513498222c7 6 #include <stdio.h>
enricoan 33:a513498222c7 7 #include <string>
amandarm 35:a8256801e9ab 8
enricoan 29:76d952358896 9 //local para a declaracao de varioveis
enricoan 30:179ceb3e5791 10 float t_motor = 0.002; //delay entre o acionamento de uma bobina do motor e a outra
enricoan 30:179ceb3e5791 11 float t_teclado = 0.01; //delay entre a leitura de um botão do teclado, necessário para que um click nao gere mais de uma saída
enricoan 24:38820e43b9fe 12 int hor[] = {12,6,3,9}; //valores que, ao serem convertidos em binário, geram a sequência de acionamento do motor no sentido horário enviando a sequência de 0's e 1's às bobinas
enricoan 24:38820e43b9fe 13 int antihor[] = {3,6,12,9}; //valores que, ao serem convertidos em binário, geram a sequência de acionamento do motor no sentido anti-horário enviando a sequência de 0's e 1's às bobinas
enricoan 24:38820e43b9fe 14 int parado[] = {0,0,0,0}; //valores enviados às bobinas do motor para deixá-lo parado
enricoan 30:179ceb3e5791 15 char tecla; //variável que guarda a tecla apertada no teclado
enricoan 30:179ceb3e5791 16 float coord_x = 0; //variável que guardará a posição linear do eixo x, ela é incrementada com a conta do deslocamento linear dado um deslocamento angular sempre que o eixo é movimentado depois do referencimaneto
enricoan 30:179ceb3e5791 17 float coord_y = 0; //variável que guardará a posição linear do eixo y, ela é incrementada com a conta do deslocamento linear dado um deslocamento angular sempre que o eixo é movimentado depois do referencimaneto
enricoan 30:179ceb3e5791 18 float coord_z = 0; //variável que guardará a posição linear do eixo z, ela é incrementada com a conta do deslocamento linear dado um deslocamento angular sempre que o eixo é movimentado depois do referencimaneto
enricoan 30:179ceb3e5791 19 int est_x = 0; //variável que indicará o estado do eixo x, pemitindo ou não que ele possa ser avançado depois de atingir o fim de curso
enricoan 30:179ceb3e5791 20 int est_y = 0; //variável que indicará o estado do eixo y, pemitindo ou não que ele possa ser avançado depois de atingir o fim de curso
enricoan 30:179ceb3e5791 21 int est_z = 0; //variável que indicará o estado do eixo z, pemitindo ou não que ele possa ser avançado depois de atingir o fim de curso
enricoan 17:4d0b8ed791e0 22
enricoan 29:76d952358896 23 //pinos usados pelos botoes de fim de curso
enricoan 17:4d0b8ed791e0 24 DigitalIn fdc_x(PC_10);
enricoan 17:4d0b8ed791e0 25 DigitalIn fdc_y(PC_11);
enricoan 17:4d0b8ed791e0 26 DigitalIn fdc_z(PC_12);
enricoan 20:81a44a25b21d 27
enricoan 29:76d952358896 28 //Iterrupcao botao de emergência
enricoan 43:cfc3da0299ff 29 InterruptIn interrupcao(PC_14);
amandarm 25:b0db528c1985 30
enricoan 42:a6fbbe536814 31 //pinos do rele, saida digital para acionar o rele se necessario, dada uma entrada no pino da emergencia
enricoan 30:179ceb3e5791 32 DigitalOut rele1(PC_5);
enricoan 30:179ceb3e5791 33 DigitalOut rele2(PB_9);
amandarm 25:b0db528c1985 34
enricoan 29:76d952358896 35 //botoes para movimentacao eixo a eixo
enricoan 10:4f49e9859535 36 DigitalIn x_mais(PA_0);
enricoan 10:4f49e9859535 37 DigitalIn x_menos(PA_1);
enricoan 10:4f49e9859535 38 DigitalIn y_mais(PC_0);
enricoan 10:4f49e9859535 39 DigitalIn y_menos(PC_1);
enricoan 10:4f49e9859535 40 DigitalIn z_mais(PC_2);
enricoan 10:4f49e9859535 41 DigitalIn z_menos(PC_3);
enricoan 10:4f49e9859535 42
enricoan 10:4f49e9859535 43 //pinos da placa usados na biblioteca do LCD
enricoan 42:a6fbbe536814 44 //TextLCD lcd(D4, D5, D6, D7, D8, D9);
enricoan 10:4f49e9859535 45
enricoan 16:70baa0057a76 46 //pinos do teclado
enricoan 40:39263f4cf8b7 47 DigitalIn b(PC_4);
enricoan 40:39263f4cf8b7 48 DigitalIn a(PB_0);
enricoan 40:39263f4cf8b7 49 DigitalIn t_0(PC_13);
enricoan 40:39263f4cf8b7 50 DigitalIn t_1(PB_7);
enricoan 40:39263f4cf8b7 51 DigitalIn t_2(PD_2);
enricoan 41:9709891f77c5 52 DigitalIn t_3(PA_4);
enricoan 40:39263f4cf8b7 53 DigitalIn t_4(PB_12);
enricoan 40:39263f4cf8b7 54 DigitalIn t_5(PB_15);
enricoan 41:9709891f77c5 55 DigitalIn t_6(PA_7);
enricoan 40:39263f4cf8b7 56 DigitalIn t_7(PB_14);
enricoan 40:39263f4cf8b7 57 DigitalIn t_8(PA_6);
enricoan 40:39263f4cf8b7 58 DigitalIn t_9(PB_13);
enricoan 16:70baa0057a76 59
enricoan 10:4f49e9859535 60 //pinos de saída para os motores
enricoan 10:4f49e9859535 61 //eixo x
Hiroball 11:dc557e461dfd 62 BusOut eixox(PA_15,PA_14,PA_13,PA_12);
enricoan 10:4f49e9859535 63 //eixo y
Hiroball 11:dc557e461dfd 64 BusOut eixoy(PA_11,PA_10,PB_2,PB_1);
enricoan 10:4f49e9859535 65 //eixo z
Hiroball 11:dc557e461dfd 66 BusOut eixoz(PC_9,PC_8,PB_8,PC_6);
enricoan 10:4f49e9859535 67
enricoan 42:a6fbbe536814 68 //funcao para receber inputs do teclado
enricoan 43:cfc3da0299ff 69 char pega_tecla(){
enricoan 43:cfc3da0299ff 70 if (b == 0)return ('A');
enricoan 43:cfc3da0299ff 71 if (a == 0)return('B');
enricoan 43:cfc3da0299ff 72 if (t_0 == 0)return('0');
enricoan 43:cfc3da0299ff 73 if (t_1 == 0)return('1');
enricoan 43:cfc3da0299ff 74 if (t_2 == 0)return('2');
enricoan 43:cfc3da0299ff 75 if (t_3 == 0)return('3');
enricoan 43:cfc3da0299ff 76 if (t_4 == 0)return('4');
enricoan 43:cfc3da0299ff 77 if (t_5 == 0)return('5');
enricoan 43:cfc3da0299ff 78 if (t_6 == 0)return('6');
enricoan 43:cfc3da0299ff 79 if (t_7 == 0)return('7');
enricoan 43:cfc3da0299ff 80 if (t_8 == 0)return('8');
enricoan 54:74a215a1f76b 81 if (t_9 == 0)return('9');
enricoan 54:74a215a1f76b 82 return('k');}
enricoan 42:a6fbbe536814 83
enricoan 32:2a9b30e1e7ab 84 //funcao de movimentacao dos motores
enricoan 32:2a9b30e1e7ab 85 void mov_x_menos(){for(int i = 0; i < 4; i++){eixox = antihor[i];wait(t_motor);coord_x -= (5.625*5/32)/360;est_x = 0;}}
enricoan 32:2a9b30e1e7ab 86 void mov_y_menos(){for(int i = 0; i < 4; i++){eixoy = antihor[i];wait(t_motor);coord_y -= (5.625*5/32)/360;est_y = 0;}}
enricoan 32:2a9b30e1e7ab 87 void mov_z_menos(){for(int i = 0; i < 4; i++){eixoz = antihor[i];wait(t_motor);coord_z -= (5.625*5/32)/360;est_z = 0;}}
enricoan 32:2a9b30e1e7ab 88 void mov_x_mais() {for(int i = 0; i < 4; i++){eixox = hor[i];wait(t_motor);coord_x += (5.625*5/32)/360;est_x = 0;}}
enricoan 32:2a9b30e1e7ab 89 void mov_y_mais() {for(int i = 0; i < 4; i++){eixoy = hor[i];wait(t_motor);coord_y += (5.625*5/32)/360;est_y = 0;}}
enricoan 32:2a9b30e1e7ab 90 void mov_z_mais() {for(int i = 0; i < 4; i++){eixoz = hor[i];wait(t_motor);coord_z += (5.625*5/32)/360;est_z = 0;}}
enricoan 32:2a9b30e1e7ab 91
enricoan 32:2a9b30e1e7ab 92 //Funcao de interrupcao
enricoan 32:2a9b30e1e7ab 93 void emergencia(){TextLCD lcd(D4, D5, D6, D7, D8, D9);
enricoan 32:2a9b30e1e7ab 94 rele1 = 0;
amandarm 25:b0db528c1985 95 eixox = 0b0000;
amandarm 25:b0db528c1985 96 eixoy = 0b0000;
amandarm 25:b0db528c1985 97 eixoz = 0b0000;
amandarm 25:b0db528c1985 98 lcd.cls();
enricoan 29:76d952358896 99 lcd.printf("Botao Emergencia");
amandarm 25:b0db528c1985 100 lcd.locate(0,1);
amandarm 25:b0db528c1985 101 lcd.printf(" acionado ");
amandarm 25:b0db528c1985 102 lcd.locate(0,2);
amandarm 46:e73e36a20134 103 lcd.printf("Operacao pausada");
amandarm 46:e73e36a20134 104 wait(5);}
enricoan 56:e748b9bd5e3c 105
enricoan 29:76d952358896 106 //Funcao de zeramento
amandarm 27:45f8125a0c0b 107 void zeramento(){
amandarm 27:45f8125a0c0b 108 while (fdc_x != 0){for(int i = 0; i < 4; i++){eixox = hor[i];wait(t_motor);est_x = 1;}}
amandarm 27:45f8125a0c0b 109 while (fdc_y != 0){for(int i = 0; i < 4; i++){eixoy = hor[i];wait(t_motor);est_y = 1;}}
enricoan 54:74a215a1f76b 110 while (fdc_z != 0){for(int i = 0; i < 4; i++){eixoz = hor[i];wait(t_motor);est_z = 1;}}}
enricoan 29:76d952358896 111
enricoan 54:74a215a1f76b 112 //Variaveis para operacao IHM
enricoan 29:76d952358896 113 using std::string;
enricoan 32:2a9b30e1e7ab 114 string status_tela = "zeramento";
amandarm 35:a8256801e9ab 115 int programa = 0;
enricoan 29:76d952358896 116
amandarm 55:4d071fb49f24 117 float pos_x_pega = 0;
amandarm 55:4d071fb49f24 118 float pos_y_pega = 0;
amandarm 55:4d071fb49f24 119 float medida_lado_pega=0;
amandarm 55:4d071fb49f24 120 float volume_pega = 0;
amandarm 55:4d071fb49f24 121 int tipo_pega=0;
amandarm 55:4d071fb49f24 122
amandarm 55:4d071fb49f24 123 float dimensao_solta=0;
amandarm 55:4d071fb49f24 124
amandarm 55:4d071fb49f24 125 float volume_solta_1=0;
amandarm 55:4d071fb49f24 126 float volume_solta_2=0;
amandarm 55:4d071fb49f24 127 float volume_solta_3=0;
amandarm 55:4d071fb49f24 128 float volume_solta_4=0;
amandarm 55:4d071fb49f24 129 float volume_solta_5=0;
amandarm 55:4d071fb49f24 130 float volume_solta_6=0;
amandarm 55:4d071fb49f24 131 float volume_solta_7=0;
amandarm 55:4d071fb49f24 132 float volume_solta_8=0;
amandarm 55:4d071fb49f24 133 float volume_solta_9=0;
amandarm 55:4d071fb49f24 134
amandarm 55:4d071fb49f24 135 float pos_x_solta=0;
amandarm 55:4d071fb49f24 136 float pos_y_solta=0;
amandarm 55:4d071fb49f24 137
enricoan 29:76d952358896 138 int main() {
enricoan 56:e748b9bd5e3c 139 interrupcao.fall(&emergencia);
enricoan 54:74a215a1f76b 140 int volume;
enricoan 54:74a215a1f76b 141 bool continuar;
enricoan 54:74a215a1f76b 142 int multiplicador;
enricoan 32:2a9b30e1e7ab 143 TextLCD lcd(D4, D5, D6, D7, D8, D9);
enricoan 29:76d952358896 144 lcd.printf("Bem-vindo ao Pipemax");
enricoan 29:76d952358896 145 lcd.locate(0,1);
enricoan 29:76d952358896 146 lcd.printf("o seu sistema");
enricoan 29:76d952358896 147 lcd.locate(0,2);
enricoan 29:76d952358896 148 lcd.printf("automatizado");
enricoan 29:76d952358896 149 lcd.locate(0,3);
enricoan 29:76d952358896 150 lcd.printf("de pipetagem");
enricoan 33:a513498222c7 151 wait(3);
enricoan 28:c4733814201a 152 lcd.cls();
enricoan 29:76d952358896 153 lcd.printf("Por favor, aceite");
amandarm 27:45f8125a0c0b 154 lcd.locate(0,1);
enricoan 29:76d952358896 155 lcd.printf("o zeramento do seu");
amandarm 27:45f8125a0c0b 156 lcd.locate(0,2);
enricoan 29:76d952358896 157 lcd.printf("sistema");
amandarm 27:45f8125a0c0b 158 lcd.locate(0,3);
enricoan 56:e748b9bd5e3c 159 lcd.printf("A - proximo");
enricoan 33:a513498222c7 160 while(1) {
enricoan 50:cd54c98b6ef1 161 tecla = pega_tecla();
enricoan 50:cd54c98b6ef1 162 printf("\n%s",status_tela);
enricoan 50:cd54c98b6ef1 163 printf("\n%c",tecla);
enricoan 29:76d952358896 164 if(tecla == 'A' && status_tela == "zeramento"){
amandarm 27:45f8125a0c0b 165 zeramento();
enricoan 33:a513498222c7 166 status_tela = "inicio";
enricoan 29:76d952358896 167 funcao_inicio();}
enricoan 43:cfc3da0299ff 168
enricoan 53:1d0bb62c117f 169 /*tentativa de fazer o motor parar ao apertar algum dos fins de curso
enricoan 18:3c40a8a17963 170 if(fdc_x == 0 || fdc_y == 0 || fdc_z == 0){
enricoan 18:3c40a8a17963 171 for(int i = 0; i < 4; i++){eixox = parado[i];wait(t_motor);est_x = 1;}
enricoan 18:3c40a8a17963 172 for(int i = 0; i < 4; i++){eixoy = parado[i];wait(t_motor);est_y = 1;}
enricoan 18:3c40a8a17963 173 for(int i = 0; i < 4; i++){eixoz = parado[i];wait(t_motor);est_z = 1;}
enricoan 53:1d0bb62c117f 174 }*/
enricoan 19:ebe198368444 175 if (x_mais == 0 && est_x == 1){for(int i = 0; i < 4; i++){eixox = parado[i];wait(t_motor);coord_x += 0;lcd.cls();lcd.printf("Limite de x atingido");}}
enricoan 19:ebe198368444 176 if (y_mais == 0 && est_y == 1){for(int i = 0; i < 4; i++){eixoy = parado[i];wait(t_motor);coord_y += 0;lcd.cls();lcd.printf("Limite de y atingido");}}
amandarm 27:45f8125a0c0b 177 if (z_mais == 0 && est_z == 1){for(int i = 0; i < 4; i++){eixoz = parado[i];wait(t_motor);coord_z += 0;lcd.cls();lcd.printf("Limite de z atingido");}}
amandarm 27:45f8125a0c0b 178
enricoan 33:a513498222c7 179 while(x_menos == 0){mov_x_menos();
enricoan 54:74a215a1f76b 180 lcd.cls(); lcd.printf("X: %4.1f", coord_x);
enricoan 32:2a9b30e1e7ab 181 lcd.locate(0,1);lcd.printf("Y: %4.1f", coord_y);
enricoan 32:2a9b30e1e7ab 182 lcd.locate(0,2);lcd.printf("Z: %4.1f", coord_z);}
enricoan 32:2a9b30e1e7ab 183
enricoan 33:a513498222c7 184 while(y_menos == 0){mov_y_menos();
enricoan 54:74a215a1f76b 185 lcd.cls(); lcd.printf("X: %4.1f", coord_x);
enricoan 32:2a9b30e1e7ab 186 lcd.locate(0,1);lcd.printf("Y: %4.1f", coord_y);
enricoan 32:2a9b30e1e7ab 187 lcd.locate(0,2);lcd.printf("Z: %4.1f", coord_z);}
enricoan 32:2a9b30e1e7ab 188
enricoan 33:a513498222c7 189 while(z_menos == 0){mov_z_menos();
enricoan 54:74a215a1f76b 190 lcd.cls(); lcd.printf("X: %4.1f", coord_x);
enricoan 32:2a9b30e1e7ab 191 lcd.locate(0,1);lcd.printf("Y: %4.1f", coord_y);
enricoan 32:2a9b30e1e7ab 192 lcd.locate(0,2);lcd.printf("Z: %4.1f", coord_z);}
enricoan 32:2a9b30e1e7ab 193
enricoan 33:a513498222c7 194 while(x_mais == 0){mov_x_mais();
enricoan 54:74a215a1f76b 195 lcd.cls(); lcd.printf("X: %4.1f", coord_x);
enricoan 33:a513498222c7 196 lcd.locate(0,1);lcd.printf("Y: %4.1f", coord_y);
enricoan 33:a513498222c7 197 lcd.locate(0,2);lcd.printf("Z: %4.1f", coord_z);}
enricoan 33:a513498222c7 198
enricoan 33:a513498222c7 199 while(y_mais == 0){mov_y_mais();
enricoan 54:74a215a1f76b 200 lcd.cls(); lcd.printf("X: %4.1f", coord_x);
enricoan 33:a513498222c7 201 lcd.locate(0,1);lcd.printf("Y: %4.1f", coord_y);
enricoan 33:a513498222c7 202 lcd.locate(0,2);lcd.printf("Z: %4.1f", coord_z);}
enricoan 33:a513498222c7 203
enricoan 33:a513498222c7 204 while(z_mais == 0){mov_z_mais();
enricoan 54:74a215a1f76b 205 lcd.cls(); lcd.printf("X: %4.1f", coord_x);
enricoan 33:a513498222c7 206 lcd.locate(0,1);lcd.printf("Y: %4.1f", coord_y);
enricoan 33:a513498222c7 207 lcd.locate(0,2);lcd.printf("Z: %4.1f", coord_z);}
enricoan 33:a513498222c7 208
enricoan 33:a513498222c7 209 if(x_mais && x_menos && y_mais && y_menos && z_mais && z_menos != 0){
enricoan 16:70baa0057a76 210 for(int i = 0; i < 4; i++){eixox = parado[i];}
enricoan 16:70baa0057a76 211 for(int i = 0; i < 4; i++){eixoy = parado[i];}
enricoan 33:a513498222c7 212 for(int i = 0; i < 4; i++){eixoz = parado[i];}}
amandarm 35:a8256801e9ab 213
enricoan 43:cfc3da0299ff 214 tecla = pega_tecla();
enricoan 28:c4733814201a 215 if(tecla =='1' && status_tela == "inicio"){
amandarm 26:4123b9462158 216 funcao_pega1();
enricoan 29:76d952358896 217 wait(1);
amandarm 26:4123b9462158 218 funcao_pega2();
enricoan 32:2a9b30e1e7ab 219 status_tela = "novo";}
enricoan 28:c4733814201a 220 else if(tecla == '2' && status_tela == "inicio"){
amandarm 26:4123b9462158 221 funcao_salvo1();
enricoan 29:76d952358896 222 wait(1);
amandarm 26:4123b9462158 223 funcao_salvo2();
enricoan 32:2a9b30e1e7ab 224 status_tela = "salvo";}
amandarm 35:a8256801e9ab 225
enricoan 43:cfc3da0299ff 226 tecla = pega_tecla();
amandarm 35:a8256801e9ab 227 if(tecla =='1' && status_tela =="salvo"){
amandarm 35:a8256801e9ab 228 funcao_salvo_agree1();
amandarm 35:a8256801e9ab 229 wait(1);
amandarm 35:a8256801e9ab 230 funcao_salvo_agree2();
amandarm 35:a8256801e9ab 231 programa = 1;
amandarm 35:a8256801e9ab 232 status_tela = "salvo_confirmacao";}
amandarm 35:a8256801e9ab 233 else if(tecla =='2' && status_tela =="salvo"){
amandarm 35:a8256801e9ab 234 funcao_salvo_agree1();
amandarm 35:a8256801e9ab 235 wait(1);
amandarm 35:a8256801e9ab 236 funcao_salvo_agree2();
amandarm 35:a8256801e9ab 237 programa = 2;
amandarm 35:a8256801e9ab 238 status_tela = "salvo_confirmacao";}
amandarm 35:a8256801e9ab 239 else if(tecla =='3' && status_tela =="salvo"){
amandarm 35:a8256801e9ab 240 funcao_salvo_agree1();
amandarm 35:a8256801e9ab 241 wait(1);
amandarm 35:a8256801e9ab 242 funcao_salvo_agree2();
amandarm 35:a8256801e9ab 243 programa = 3;
amandarm 35:a8256801e9ab 244 status_tela = "salvo_confirmacao";}
amandarm 35:a8256801e9ab 245 else if(tecla =='4' && status_tela =="salvo"){
amandarm 35:a8256801e9ab 246 funcao_salvo_agree1();
amandarm 35:a8256801e9ab 247 wait(1);
amandarm 35:a8256801e9ab 248 funcao_salvo_agree2();
amandarm 35:a8256801e9ab 249 programa = 4;
amandarm 35:a8256801e9ab 250 status_tela = "salvo_confirmacao";}
amandarm 35:a8256801e9ab 251 else if(tecla =='5' && status_tela =="salvo"){
amandarm 35:a8256801e9ab 252 funcao_salvo_agree1();
amandarm 35:a8256801e9ab 253 wait(1);
amandarm 35:a8256801e9ab 254 funcao_salvo_agree2();
amandarm 35:a8256801e9ab 255 programa = 5;
amandarm 35:a8256801e9ab 256 status_tela = "salvo_confirmacao";}
amandarm 44:f0b7a2fe28d9 257 else if(tecla =='6' && status_tela =="salvo"){
amandarm 44:f0b7a2fe28d9 258 funcao_salvo_agree1();
amandarm 44:f0b7a2fe28d9 259 wait(1);
amandarm 44:f0b7a2fe28d9 260 funcao_salvo_agree2();
amandarm 44:f0b7a2fe28d9 261 programa = 6;
amandarm 44:f0b7a2fe28d9 262 status_tela = "salvo_confirmacao";}
amandarm 35:a8256801e9ab 263 else if(tecla =='B' && status_tela =="salvo"){
amandarm 47:0d9c10a9f87d 264 funcao_inicio();
amandarm 35:a8256801e9ab 265 status_tela = "inicio";}
amandarm 35:a8256801e9ab 266
enricoan 43:cfc3da0299ff 267 tecla = pega_tecla();
amandarm 35:a8256801e9ab 268 if(tecla =='1' && status_tela =="salvo_confirmacao"){
amandarm 35:a8256801e9ab 269 funcao_salvo_agree_pos_aviso();
amandarm 44:f0b7a2fe28d9 270 wait(3);
amandarm 36:12c0f6dfb3dc 271 //posicionar no local da pega salvo; (motor -> programa.pos_x_pega e motor -> programa.pos_y_pega)
amandarm 35:a8256801e9ab 272 funcao_salvo_agree_pos_certo();
amandarm 49:4625aaa408fc 273 status_tela="salvo_pos_certo_pega" ;}
amandarm 35:a8256801e9ab 274 else if(tecla =='2' && status_tela =="salvo_confirmacao"){
amandarm 35:a8256801e9ab 275 funcao_salvo_agree_solta_aviso();
amandarm 44:f0b7a2fe28d9 276 wait(3);
amandarm 36:12c0f6dfb3dc 277 //posicionar no local de solta para confirmação; (motor -> programa.pos_x_solta e motor -> programa.pos_y_solta)
amandarm 35:a8256801e9ab 278 funcao_salvo_agree_pos_certo();
amandarm 49:4625aaa408fc 279 status_tela = "salvo_pos_certo_solta";}
amandarm 35:a8256801e9ab 280 else if(tecla =='3' && status_tela =="salvo_confirmacao"){
amandarm 35:a8256801e9ab 281 funcao_salvo_agree_pega_vol();
amandarm 36:12c0f6dfb3dc 282 //programação de volume igual da de cima (programa.vol_pega)
amandarm 52:dca562b09080 283 status_tela="salvo_conf_vol_pega";}
amandarm 35:a8256801e9ab 284 else if(tecla =='4' && status_tela =="salvo_confirmacao"){
amandarm 35:a8256801e9ab 285 funcao_salvo_agree_solta_vol();
amandarm 36:12c0f6dfb3dc 286 //volumes configurados por cada pipeta. (programa.vol_solta_pipeta)
amandarm 35:a8256801e9ab 287 status_tela="salvo_conf_vol_solta";}
amandarm 35:a8256801e9ab 288 else if(tecla =='B' && status_tela =="salvo_confirmacao"){
amandarm 47:0d9c10a9f87d 289 funcao_salvo1();
amandarm 35:a8256801e9ab 290 wait(1);
amandarm 47:0d9c10a9f87d 291 funcao_salvo2();
amandarm 35:a8256801e9ab 292 programa = 1;
amandarm 35:a8256801e9ab 293 status_tela = "salvo";}
amandarm 35:a8256801e9ab 294
enricoan 43:cfc3da0299ff 295 tecla = pega_tecla();
amandarm 49:4625aaa408fc 296 if(tecla =='1' && status_tela =="salvo_pos_certo_pega"){
amandarm 48:135139e2971f 297 funcao_salvo_agree1();
amandarm 52:dca562b09080 298 lcd.locate(18,1);lcd.printf("OK");
amandarm 48:135139e2971f 299 wait(1);
amandarm 48:135139e2971f 300 funcao_salvo_agree2();
enricoan 53:1d0bb62c117f 301 status_tela = "salvo_confirmacao";
amandarm 48:135139e2971f 302 }//salva essa posição como correta
amandarm 49:4625aaa408fc 303 else if(tecla =='2' && status_tela =="salvo_pos_certo_pega"){}
amandarm 49:4625aaa408fc 304 else if(tecla =='B' && status_tela =="salvo_pos_certo_pega"){
amandarm 35:a8256801e9ab 305 funcao_salvo_agree1();
amandarm 35:a8256801e9ab 306 wait(1);
amandarm 35:a8256801e9ab 307 funcao_salvo_agree2();
amandarm 48:135139e2971f 308 status_tela = "salvo_confirmacao";}
amandarm 48:135139e2971f 309
amandarm 52:dca562b09080 310 if(tecla =='A' && status_tela =="salvo_conf_vol_pega"){
amandarm 52:dca562b09080 311 funcao_salvo_agree1();
amandarm 52:dca562b09080 312 wait(1);
amandarm 52:dca562b09080 313 funcao_salvo_agree2();
amandarm 52:dca562b09080 314 lcd.locate(18,1);lcd.printf("OK");
enricoan 53:1d0bb62c117f 315 status_tela = "salvo_confirmacao";
amandarm 52:dca562b09080 316 }//salva essa posição como correta
amandarm 52:dca562b09080 317 else if(tecla =='B' && status_tela =="salvo_conf_vol_pega"){
amandarm 52:dca562b09080 318 funcao_salvo_agree1();
amandarm 52:dca562b09080 319 wait(1);
amandarm 52:dca562b09080 320 funcao_salvo_agree2();
amandarm 52:dca562b09080 321 status_tela = "salvo_confirmacao";}
amandarm 52:dca562b09080 322
amandarm 49:4625aaa408fc 323 if(tecla =='1' && status_tela =="salvo_pos_certo_solta"){
amandarm 49:4625aaa408fc 324 funcao_salvo_agree1();
amandarm 52:dca562b09080 325 lcd.locate(18,2);lcd.printf("OK");
amandarm 49:4625aaa408fc 326 wait(1);
amandarm 49:4625aaa408fc 327 funcao_salvo_agree2();
enricoan 53:1d0bb62c117f 328 status_tela = "salvo_confirmacao";
amandarm 49:4625aaa408fc 329 }//salva essa posição como correta
amandarm 49:4625aaa408fc 330 else if(tecla =='2' && status_tela =="salvo_pos_certo_solta"){}
amandarm 49:4625aaa408fc 331 else if(tecla =='B' && status_tela =="salvo_pos_certo_solta"){
amandarm 49:4625aaa408fc 332 funcao_salvo_agree1();
amandarm 49:4625aaa408fc 333 wait(1);
amandarm 49:4625aaa408fc 334 funcao_salvo_agree2();
amandarm 49:4625aaa408fc 335 status_tela = "salvo_confirmacao";}
amandarm 49:4625aaa408fc 336
amandarm 52:dca562b09080 337 if(tecla =='A' && status_tela =="salvo_conf_vol_solta"){
amandarm 48:135139e2971f 338 funcao_salvo_agree1();
amandarm 48:135139e2971f 339 wait(1);
amandarm 48:135139e2971f 340 funcao_salvo_agree2();
amandarm 52:dca562b09080 341 lcd.locate(18,2);lcd.printf("OK");
enricoan 53:1d0bb62c117f 342 status_tela = "salvo_confirmacao";
amandarm 48:135139e2971f 343 }//salva essa posição como correta
amandarm 48:135139e2971f 344 else if(tecla =='2' && status_tela =="salvo_conf_vol_solta"){}
amandarm 48:135139e2971f 345 else if(tecla =='B' && status_tela =="salvo_conf_vol_solta"){
amandarm 48:135139e2971f 346 funcao_salvo_agree1();
amandarm 48:135139e2971f 347 wait(1);
amandarm 48:135139e2971f 348 funcao_salvo_agree2();
amandarm 35:a8256801e9ab 349 status_tela = "salvo_confirmacao";}
amandarm 35:a8256801e9ab 350
enricoan 43:cfc3da0299ff 351 tecla = pega_tecla();
enricoan 32:2a9b30e1e7ab 352 if(tecla =='1' && status_tela =="novo"){
amandarm 26:4123b9462158 353 funcao_pega_forma();
enricoan 32:2a9b30e1e7ab 354 status_tela ="forma";}
enricoan 53:1d0bb62c117f 355
enricoan 28:c4733814201a 356 else if(tecla =='2' && status_tela == "novo"){
enricoan 54:74a215a1f76b 357 volume = 0;
enricoan 54:74a215a1f76b 358 printf("\n%c",pega_tecla());
enricoan 53:1d0bb62c117f 359 funcao_pega_volume(); //!!!!!!!!!!!!!!!!!!!!!!!!!!!WTFFFFF
Hiroball 34:1b5a00c55376 360 status_tela = "volume";
enricoan 54:74a215a1f76b 361 continuar = 1;
enricoan 54:74a215a1f76b 362 multiplicador = 10;
enricoan 54:74a215a1f76b 363 while(continuar){
enricoan 54:74a215a1f76b 364 printf("\n%c",pega_tecla());
enricoan 43:cfc3da0299ff 365 tecla = pega_tecla();
enricoan 54:74a215a1f76b 366 wait(1);
enricoan 54:74a215a1f76b 367 if(tecla!= 'A' && tecla!='B' && tecla!= 'k'){
enricoan 53:1d0bb62c117f 368 lcd.locate(6,1);
Hiroball 34:1b5a00c55376 369 volume = multiplicador*volume + (int)tecla;
enricoan 54:74a215a1f76b 370 printf("\nVolume: %d", volume);
Hiroball 34:1b5a00c55376 371 }
enricoan 53:1d0bb62c117f 372 if(tecla == 'A'){
enricoan 53:1d0bb62c117f 373 lcd.locate(0,2);
Hiroball 34:1b5a00c55376 374 lcd.printf("Volume: %d", volume);
Hiroball 34:1b5a00c55376 375 continuar = 0;
enricoan 54:74a215a1f76b 376 wait(1);
Hiroball 34:1b5a00c55376 377 }
Hiroball 34:1b5a00c55376 378 }
Hiroball 34:1b5a00c55376 379 }
enricoan 28:c4733814201a 380 else if(tecla == '3' && status_tela == "novo"){
amandarm 26:4123b9462158 381 funcao_pega_pos_x();
amandarm 55:4d071fb49f24 382 pos_x_pega = 12.5;
enricoan 32:2a9b30e1e7ab 383 status_tela = "pos_x";}
enricoan 28:c4733814201a 384 else if(tecla == 'B' && status_tela == "novo"){
amandarm 26:4123b9462158 385 funcao_inicio();
enricoan 32:2a9b30e1e7ab 386 status_tela = "inicio";}
enricoan 28:c4733814201a 387 else if(tecla == '1' && status_tela == "salvo"){
amandarm 26:4123b9462158 388 funcao_salvo_agree1();
enricoan 32:2a9b30e1e7ab 389 status_tela = "confirmacao";}
enricoan 28:c4733814201a 390 else if(tecla =='2' && status_tela == "salvo"){
amandarm 26:4123b9462158 391 funcao_salvo_agree1();
enricoan 32:2a9b30e1e7ab 392 status_tela = "confirmacao";}
enricoan 28:c4733814201a 393 else if(tecla =='B' && status_tela == "salvo"){
amandarm 26:4123b9462158 394 funcao_inicio();
enricoan 32:2a9b30e1e7ab 395 status_tela = "inicio";}
amandarm 35:a8256801e9ab 396
enricoan 56:e748b9bd5e3c 397 tecla = 'F';
enricoan 56:e748b9bd5e3c 398 wait(1);
enricoan 43:cfc3da0299ff 399 tecla = pega_tecla();
enricoan 28:c4733814201a 400 if(tecla == '1' && status_tela == "forma"){
amandarm 26:4123b9462158 401 funcao_pega_forma_quad();
amandarm 55:4d071fb49f24 402 tipo_pega = 1;
enricoan 32:2a9b30e1e7ab 403 status_tela ="forma_quad";}
enricoan 28:c4733814201a 404 else if(tecla == '2' && status_tela == "forma"){
amandarm 26:4123b9462158 405 funcao_pega_forma_red();
enricoan 56:e748b9bd5e3c 406 tipo_pega = 2;
amandarm 55:4d071fb49f24 407 status_tela = "forma_red";}
enricoan 28:c4733814201a 408 else if(tecla == 'B' && status_tela == "forma"){
enricoan 56:e748b9bd5e3c 409 funcao_pega1();
enricoan 56:e748b9bd5e3c 410 wait(1);
enricoan 56:e748b9bd5e3c 411 funcao_pega2();
enricoan 32:2a9b30e1e7ab 412 status_tela = "novo";}
amandarm 35:a8256801e9ab 413
enricoan 43:cfc3da0299ff 414 tecla = pega_tecla();
enricoan 28:c4733814201a 415 if(tecla == 'A' && status_tela == "forma_quad"){
amandarm 26:4123b9462158 416 funcao_pega1();
amandarm 55:4d071fb49f24 417 medida_lado_pega = 10;
enricoan 56:e748b9bd5e3c 418 lcd.locate(18,1);lcd.printf("OK");
enricoan 29:76d952358896 419 wait(1);
amandarm 26:4123b9462158 420 funcao_pega2();
enricoan 56:e748b9bd5e3c 421 status_tela ="novo";}
enricoan 28:c4733814201a 422 else if(tecla == 'B' && status_tela == "forma_quad"){
amandarm 26:4123b9462158 423 funcao_pega_forma();
enricoan 32:2a9b30e1e7ab 424 status_tela = "forma";}
enricoan 28:c4733814201a 425 else if(tecla == 'A' && status_tela == "forma_red"){
amandarm 55:4d071fb49f24 426 medida_lado_pega = 10;
amandarm 26:4123b9462158 427 funcao_pega1();
enricoan 56:e748b9bd5e3c 428 lcd.locate(18,1);lcd.printf("OK");
enricoan 29:76d952358896 429 wait(1);
amandarm 26:4123b9462158 430 funcao_pega2();
enricoan 56:e748b9bd5e3c 431 status_tela ="novo";}
enricoan 28:c4733814201a 432 else if(tecla == 'B' && status_tela == "forma_red"){
amandarm 26:4123b9462158 433 funcao_pega_forma();
enricoan 32:2a9b30e1e7ab 434 status_tela = "forma";}
enricoan 28:c4733814201a 435 else if(tecla == 'A' && status_tela == "volume"){
amandarm 26:4123b9462158 436 funcao_pega1();
amandarm 55:4d071fb49f24 437 volume_pega = 12;
amandarm 52:dca562b09080 438 lcd.locate(18,2);lcd.printf("OK");
enricoan 29:76d952358896 439 wait(1);
amandarm 26:4123b9462158 440 funcao_pega2();
enricoan 56:e748b9bd5e3c 441 status_tela ="novo";}
enricoan 28:c4733814201a 442 else if( tecla == 'B' && status_tela == "volume"){
amandarm 26:4123b9462158 443 funcao_pega_forma();
enricoan 32:2a9b30e1e7ab 444 status_tela = "forma";}
enricoan 28:c4733814201a 445 else if(tecla == 'A' && status_tela == "pos_x"){
enricoan 29:76d952358896 446 funcao_pega_pos_y(); //colocar programacao que contem o posicionamento do eixo y
amandarm 55:4d071fb49f24 447 pos_y_pega = 12.5;
enricoan 32:2a9b30e1e7ab 448 status_tela = "pos_y";}
enricoan 28:c4733814201a 449 else if(tecla == 'B' && status_tela == "pos_x"){
amandarm 26:4123b9462158 450 funcao_pega_forma();
enricoan 32:2a9b30e1e7ab 451 status_tela = "forma";}
amandarm 35:a8256801e9ab 452
enricoan 43:cfc3da0299ff 453 tecla = pega_tecla();
enricoan 28:c4733814201a 454 if(tecla == 'A' && status_tela == "pos_y"){
amandarm 26:4123b9462158 455 funcao_pega1();
enricoan 29:76d952358896 456 wait(1);
amandarm 26:4123b9462158 457 funcao_pega2();
enricoan 56:e748b9bd5e3c 458 lcd.locate(18,1);lcd.printf("OK");
enricoan 56:e748b9bd5e3c 459 wait(1);
enricoan 56:e748b9bd5e3c 460 status_tela ="novo";}
enricoan 28:c4733814201a 461 else if(tecla == 'B' && status_tela == "pos_y"){
amandarm 26:4123b9462158 462 funcao_pega_forma();
enricoan 32:2a9b30e1e7ab 463 status_tela = "forma";}
amandarm 55:4d071fb49f24 464
amandarm 55:4d071fb49f24 465
amandarm 55:4d071fb49f24 466 tecla=pega_tecla();
enricoan 56:e748b9bd5e3c 467 if(pos_x_pega!=0 && pos_y_pega!=0 && medida_lado_pega!=0 && volume_pega !=0 && tipo_pega!=0 && status_tela == "novo"){
amandarm 55:4d071fb49f24 468 funcao_solta1();
amandarm 55:4d071fb49f24 469 wait(3);
amandarm 55:4d071fb49f24 470 funcao_solta2();
amandarm 55:4d071fb49f24 471 wait(3);
enricoan 56:e748b9bd5e3c 472 status_tela="solta";}
amandarm 55:4d071fb49f24 473
amandarm 55:4d071fb49f24 474 tecla=pega_tecla();
amandarm 55:4d071fb49f24 475 if(tecla == '1' && status_tela == "solta"){
amandarm 55:4d071fb49f24 476 funcao_solta_dimensao();
amandarm 55:4d071fb49f24 477 status_tela="solta_dimensao";
amandarm 55:4d071fb49f24 478 }
amandarm 55:4d071fb49f24 479 else if(tecla == '2' && status_tela == "solta"){
amandarm 55:4d071fb49f24 480 funcao_solta_vol();
amandarm 55:4d071fb49f24 481 status_tela="solta_vol";
amandarm 55:4d071fb49f24 482 }
amandarm 55:4d071fb49f24 483 else if(tecla == '3' && status_tela == "solta"){
amandarm 55:4d071fb49f24 484 funcao_solta_pos_x();
amandarm 55:4d071fb49f24 485 status_tela="solta_pos_x";
amandarm 55:4d071fb49f24 486 }
amandarm 55:4d071fb49f24 487 else if(tecla == 'B' && status_tela == "solta"){
amandarm 55:4d071fb49f24 488 funcao_pega1();
amandarm 55:4d071fb49f24 489 lcd.locate(18,1);lcd.printf("OK");
amandarm 55:4d071fb49f24 490 lcd.locate(18,2);lcd.printf("OK");
amandarm 55:4d071fb49f24 491 wait(1);
amandarm 55:4d071fb49f24 492 funcao_pega2();
amandarm 55:4d071fb49f24 493 lcd.locate(18,2);lcd.printf("OK");
amandarm 55:4d071fb49f24 494 lcd.locate(18,1);lcd.printf("OK");
amandarm 55:4d071fb49f24 495 status_tela="inicio";
amandarm 55:4d071fb49f24 496 }
amandarm 55:4d071fb49f24 497
amandarm 55:4d071fb49f24 498 tecla=pega_tecla();
amandarm 55:4d071fb49f24 499 if(tecla=='A' && status_tela=="solta_dimensao"){
amandarm 55:4d071fb49f24 500 dimensao_solta = 10;
amandarm 55:4d071fb49f24 501 funcao_solta1();
amandarm 55:4d071fb49f24 502 lcd.locate(18,1);lcd.printf("OK");
amandarm 55:4d071fb49f24 503 wait(3);
amandarm 55:4d071fb49f24 504 funcao_solta2();
amandarm 55:4d071fb49f24 505 wait(3);
amandarm 55:4d071fb49f24 506 status_tela="solta";
amandarm 55:4d071fb49f24 507 }
amandarm 55:4d071fb49f24 508 else if(tecla=='B' && status_tela=="solta_dimensao"){
amandarm 55:4d071fb49f24 509 funcao_solta1();
amandarm 55:4d071fb49f24 510 wait(3);
amandarm 55:4d071fb49f24 511 funcao_solta2();
amandarm 55:4d071fb49f24 512 wait(3);
amandarm 55:4d071fb49f24 513 status_tela="solta";
amandarm 55:4d071fb49f24 514 }
amandarm 55:4d071fb49f24 515 if(tecla=='1' && status_tela=="solta_vol"){
amandarm 55:4d071fb49f24 516 funcao_solta_vol_igual();
amandarm 55:4d071fb49f24 517 status_tela="solta_vol_igual";
amandarm 55:4d071fb49f24 518 }
amandarm 55:4d071fb49f24 519 else if(tecla=='2' && status_tela=="solta_vol"){
amandarm 55:4d071fb49f24 520 funcao_solta_vol_cada();
amandarm 55:4d071fb49f24 521 status_tela="solta_vol_dif";
amandarm 55:4d071fb49f24 522 }
amandarm 55:4d071fb49f24 523 else if(tecla=='B' && status_tela=="solta_vol"){
amandarm 55:4d071fb49f24 524 funcao_solta1();
amandarm 55:4d071fb49f24 525 wait(3);
amandarm 55:4d071fb49f24 526 funcao_solta2();
amandarm 55:4d071fb49f24 527 wait(3);
amandarm 55:4d071fb49f24 528 status_tela="solta";
amandarm 55:4d071fb49f24 529 }
enricoan 56:e748b9bd5e3c 530 if(tecla=='A' && status_tela=="solta_pos_x"){
amandarm 55:4d071fb49f24 531 funcao_solta_pos_y();
amandarm 55:4d071fb49f24 532 pos_x_solta = 10;
enricoan 56:e748b9bd5e3c 533 status_tela="solta_pos_y";
amandarm 55:4d071fb49f24 534 }
enricoan 56:e748b9bd5e3c 535 else if(tecla=='B' && status_tela=="solta_pos_x"){
amandarm 55:4d071fb49f24 536 funcao_solta1();
amandarm 55:4d071fb49f24 537 wait(3);
amandarm 55:4d071fb49f24 538 funcao_solta2();
amandarm 55:4d071fb49f24 539 wait(3);
amandarm 55:4d071fb49f24 540 status_tela="solta";
amandarm 55:4d071fb49f24 541 }
amandarm 55:4d071fb49f24 542
amandarm 55:4d071fb49f24 543 tecla=pega_tecla();
amandarm 55:4d071fb49f24 544 if(tecla=='A' && status_tela=="solta_vol_igual"){
amandarm 55:4d071fb49f24 545 volume_solta_1=10;
amandarm 55:4d071fb49f24 546 volume_solta_2=10;
amandarm 55:4d071fb49f24 547 volume_solta_3=10;
amandarm 55:4d071fb49f24 548 volume_solta_4=10;
amandarm 55:4d071fb49f24 549 volume_solta_5=10;
amandarm 55:4d071fb49f24 550 volume_solta_6=10;
amandarm 55:4d071fb49f24 551 volume_solta_7=10;
amandarm 55:4d071fb49f24 552 volume_solta_8=10;
amandarm 55:4d071fb49f24 553 volume_solta_9=10;
amandarm 55:4d071fb49f24 554
amandarm 55:4d071fb49f24 555 funcao_solta1();
amandarm 55:4d071fb49f24 556 lcd.locate(18,2);lcd.printf("OK");
amandarm 55:4d071fb49f24 557 wait(3);
amandarm 55:4d071fb49f24 558 funcao_solta2();
amandarm 55:4d071fb49f24 559 wait(3);
enricoan 56:e748b9bd5e3c 560 status_tela="solta";}
amandarm 55:4d071fb49f24 561 else if(tecla=='B' && status_tela=="solta_vol_igual"){
amandarm 55:4d071fb49f24 562 funcao_solta_vol();
amandarm 55:4d071fb49f24 563 status_tela="solta_vol";
amandarm 55:4d071fb49f24 564 }
amandarm 55:4d071fb49f24 565 if(tecla=='1' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 566 volume_solta_1=10;
enricoan 56:e748b9bd5e3c 567 lcd.locate(12,0);lcd.printf("10");
amandarm 55:4d071fb49f24 568 }
amandarm 55:4d071fb49f24 569 if(tecla=='2' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 570 volume_solta_2=20;
enricoan 56:e748b9bd5e3c 571 lcd.locate(15,0);lcd.printf("20");
amandarm 55:4d071fb49f24 572 }
amandarm 55:4d071fb49f24 573 if(tecla=='3' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 574 volume_solta_3=30;
enricoan 56:e748b9bd5e3c 575 lcd.locate(18,0);lcd.printf("30");
amandarm 55:4d071fb49f24 576 }
amandarm 55:4d071fb49f24 577 if(tecla=='4' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 578 volume_solta_4=40;
enricoan 56:e748b9bd5e3c 579 lcd.locate(12,1);lcd.printf("40");
amandarm 55:4d071fb49f24 580 }
amandarm 55:4d071fb49f24 581 if(tecla=='5' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 582 volume_solta_5=50;
enricoan 56:e748b9bd5e3c 583 lcd.locate(15,1);lcd.printf("50");
amandarm 55:4d071fb49f24 584 }
amandarm 55:4d071fb49f24 585 if(tecla=='6' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 586 volume_solta_6=60;
enricoan 56:e748b9bd5e3c 587 lcd.locate(18,1);lcd.printf("60");
amandarm 55:4d071fb49f24 588 }
amandarm 55:4d071fb49f24 589 if(tecla=='7' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 590 volume_solta_7=70;
enricoan 56:e748b9bd5e3c 591 lcd.locate(12,2);lcd.printf("70");
amandarm 55:4d071fb49f24 592 }
amandarm 55:4d071fb49f24 593 if(tecla=='8' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 594 volume_solta_8=80;
enricoan 56:e748b9bd5e3c 595 lcd.locate(15,2);lcd.printf("80");
amandarm 55:4d071fb49f24 596 }
amandarm 55:4d071fb49f24 597 if(tecla=='9' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 598 volume_solta_9=90;
enricoan 56:e748b9bd5e3c 599 lcd.locate(18,2);lcd.printf("90");
amandarm 55:4d071fb49f24 600 }
amandarm 55:4d071fb49f24 601 if(tecla=='A' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 602 funcao_solta1();
amandarm 55:4d071fb49f24 603 lcd.locate(18,2);lcd.printf("OK");
amandarm 55:4d071fb49f24 604 wait(3);
amandarm 55:4d071fb49f24 605 funcao_solta2();
amandarm 55:4d071fb49f24 606 wait(3);
amandarm 55:4d071fb49f24 607 status_tela="solta";
amandarm 55:4d071fb49f24 608 }
amandarm 55:4d071fb49f24 609 else if(tecla=='B' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 610 funcao_solta_vol();
amandarm 55:4d071fb49f24 611 status_tela="solta_vol";
amandarm 55:4d071fb49f24 612 }
enricoan 56:e748b9bd5e3c 613 if(tecla=='A' && status_tela=="solta_pos_y"){
amandarm 55:4d071fb49f24 614 funcao_solta1();
amandarm 55:4d071fb49f24 615 wait(3);
amandarm 55:4d071fb49f24 616 funcao_solta2();
amandarm 55:4d071fb49f24 617 lcd.locate(18,1);lcd.printf("OK");
amandarm 55:4d071fb49f24 618 pos_y_solta = 10;
amandarm 55:4d071fb49f24 619 wait(3);
amandarm 55:4d071fb49f24 620 status_tela="solta";
amandarm 55:4d071fb49f24 621 }
enricoan 56:e748b9bd5e3c 622 else if(tecla=='B' && status_tela=="solta_pos_y"){
amandarm 55:4d071fb49f24 623 funcao_solta1();
amandarm 55:4d071fb49f24 624 wait(3);
amandarm 55:4d071fb49f24 625 funcao_solta2();
amandarm 55:4d071fb49f24 626 pos_y_solta = 10;
amandarm 55:4d071fb49f24 627 wait(3);
amandarm 55:4d071fb49f24 628 status_tela="solta";
amandarm 55:4d071fb49f24 629 }
amandarm 55:4d071fb49f24 630
amandarm 55:4d071fb49f24 631 if(pos_x_solta!=0 && pos_y_solta!=0 && volume_solta_9!=0 && dimensao_solta!=0 && status_tela=="solta"){
amandarm 55:4d071fb49f24 632 funcao_acomp();
amandarm 55:4d071fb49f24 633 status_tela="acompanhamento";
amandarm 55:4d071fb49f24 634 };
amandarm 55:4d071fb49f24 635 }
enricoan 10:4f49e9859535 636 }