testando

Dependencies:   mbed TextLCD2

Committer:
amandarm
Date:
Sat May 30 21:37:01 2020 +0000
Revision:
57:e26deee15b8f
Parent:
56:e748b9bd5e3c
Child:
58:d573b618f45a
funcao ok

Who changed what in which revision?

UserRevisionLine numberNew contents of line
enricoan 29:76d952358896 1 //local para inclusao de bibliotecas
enricoan 10:4f49e9859535 2 #include "mbed.h"
enricoan 10:4f49e9859535 3 #include "TextLCD.h"
amandarm 31:acb062a05984 4 #include "funcoes_ihm.h"
amandarm 38:2a0e12322e72 5 #include "classe.h"
enricoan 33:a513498222c7 6 #include <stdio.h>
enricoan 33:a513498222c7 7 #include <string>
amandarm 35:a8256801e9ab 8
enricoan 29:76d952358896 9 //local para a declaracao de varioveis
enricoan 30:179ceb3e5791 10 float t_motor = 0.002; //delay entre o acionamento de uma bobina do motor e a outra
enricoan 30:179ceb3e5791 11 float t_teclado = 0.01; //delay entre a leitura de um botão do teclado, necessário para que um click nao gere mais de uma saída
enricoan 24:38820e43b9fe 12 int hor[] = {12,6,3,9}; //valores que, ao serem convertidos em binário, geram a sequência de acionamento do motor no sentido horário enviando a sequência de 0's e 1's às bobinas
enricoan 24:38820e43b9fe 13 int antihor[] = {3,6,12,9}; //valores que, ao serem convertidos em binário, geram a sequência de acionamento do motor no sentido anti-horário enviando a sequência de 0's e 1's às bobinas
enricoan 24:38820e43b9fe 14 int parado[] = {0,0,0,0}; //valores enviados às bobinas do motor para deixá-lo parado
enricoan 30:179ceb3e5791 15 char tecla; //variável que guarda a tecla apertada no teclado
enricoan 30:179ceb3e5791 16 float coord_x = 0; //variável que guardará a posição linear do eixo x, ela é incrementada com a conta do deslocamento linear dado um deslocamento angular sempre que o eixo é movimentado depois do referencimaneto
enricoan 30:179ceb3e5791 17 float coord_y = 0; //variável que guardará a posição linear do eixo y, ela é incrementada com a conta do deslocamento linear dado um deslocamento angular sempre que o eixo é movimentado depois do referencimaneto
enricoan 30:179ceb3e5791 18 float coord_z = 0; //variável que guardará a posição linear do eixo z, ela é incrementada com a conta do deslocamento linear dado um deslocamento angular sempre que o eixo é movimentado depois do referencimaneto
enricoan 30:179ceb3e5791 19 int est_x = 0; //variável que indicará o estado do eixo x, pemitindo ou não que ele possa ser avançado depois de atingir o fim de curso
enricoan 30:179ceb3e5791 20 int est_y = 0; //variável que indicará o estado do eixo y, pemitindo ou não que ele possa ser avançado depois de atingir o fim de curso
enricoan 30:179ceb3e5791 21 int est_z = 0; //variável que indicará o estado do eixo z, pemitindo ou não que ele possa ser avançado depois de atingir o fim de curso
enricoan 17:4d0b8ed791e0 22
enricoan 29:76d952358896 23 //pinos usados pelos botoes de fim de curso
enricoan 17:4d0b8ed791e0 24 DigitalIn fdc_x(PC_10);
enricoan 17:4d0b8ed791e0 25 DigitalIn fdc_y(PC_11);
enricoan 17:4d0b8ed791e0 26 DigitalIn fdc_z(PC_12);
enricoan 20:81a44a25b21d 27
enricoan 29:76d952358896 28 //Iterrupcao botao de emergência
enricoan 43:cfc3da0299ff 29 InterruptIn interrupcao(PC_14);
amandarm 25:b0db528c1985 30
enricoan 42:a6fbbe536814 31 //pinos do rele, saida digital para acionar o rele se necessario, dada uma entrada no pino da emergencia
enricoan 30:179ceb3e5791 32 DigitalOut rele1(PC_5);
enricoan 30:179ceb3e5791 33 DigitalOut rele2(PB_9);
amandarm 25:b0db528c1985 34
enricoan 29:76d952358896 35 //botoes para movimentacao eixo a eixo
enricoan 10:4f49e9859535 36 DigitalIn x_mais(PA_0);
enricoan 10:4f49e9859535 37 DigitalIn x_menos(PA_1);
enricoan 10:4f49e9859535 38 DigitalIn y_mais(PC_0);
enricoan 10:4f49e9859535 39 DigitalIn y_menos(PC_1);
enricoan 10:4f49e9859535 40 DigitalIn z_mais(PC_2);
enricoan 10:4f49e9859535 41 DigitalIn z_menos(PC_3);
enricoan 10:4f49e9859535 42
enricoan 10:4f49e9859535 43 //pinos da placa usados na biblioteca do LCD
enricoan 42:a6fbbe536814 44 //TextLCD lcd(D4, D5, D6, D7, D8, D9);
enricoan 10:4f49e9859535 45
enricoan 16:70baa0057a76 46 //pinos do teclado
enricoan 40:39263f4cf8b7 47 DigitalIn b(PC_4);
enricoan 40:39263f4cf8b7 48 DigitalIn a(PB_0);
enricoan 40:39263f4cf8b7 49 DigitalIn t_0(PC_13);
enricoan 40:39263f4cf8b7 50 DigitalIn t_1(PB_7);
enricoan 40:39263f4cf8b7 51 DigitalIn t_2(PD_2);
enricoan 41:9709891f77c5 52 DigitalIn t_3(PA_4);
enricoan 40:39263f4cf8b7 53 DigitalIn t_4(PB_12);
enricoan 40:39263f4cf8b7 54 DigitalIn t_5(PB_15);
enricoan 41:9709891f77c5 55 DigitalIn t_6(PA_7);
enricoan 40:39263f4cf8b7 56 DigitalIn t_7(PB_14);
enricoan 40:39263f4cf8b7 57 DigitalIn t_8(PA_6);
enricoan 40:39263f4cf8b7 58 DigitalIn t_9(PB_13);
enricoan 16:70baa0057a76 59
enricoan 10:4f49e9859535 60 //pinos de saída para os motores
enricoan 10:4f49e9859535 61 //eixo x
Hiroball 11:dc557e461dfd 62 BusOut eixox(PA_15,PA_14,PA_13,PA_12);
enricoan 10:4f49e9859535 63 //eixo y
Hiroball 11:dc557e461dfd 64 BusOut eixoy(PA_11,PA_10,PB_2,PB_1);
enricoan 10:4f49e9859535 65 //eixo z
Hiroball 11:dc557e461dfd 66 BusOut eixoz(PC_9,PC_8,PB_8,PC_6);
enricoan 10:4f49e9859535 67
enricoan 42:a6fbbe536814 68 //funcao para receber inputs do teclado
enricoan 43:cfc3da0299ff 69 char pega_tecla(){
enricoan 43:cfc3da0299ff 70 if (b == 0)return ('A');
enricoan 43:cfc3da0299ff 71 if (a == 0)return('B');
enricoan 43:cfc3da0299ff 72 if (t_0 == 0)return('0');
enricoan 43:cfc3da0299ff 73 if (t_1 == 0)return('1');
enricoan 43:cfc3da0299ff 74 if (t_2 == 0)return('2');
enricoan 43:cfc3da0299ff 75 if (t_3 == 0)return('3');
enricoan 43:cfc3da0299ff 76 if (t_4 == 0)return('4');
enricoan 43:cfc3da0299ff 77 if (t_5 == 0)return('5');
enricoan 43:cfc3da0299ff 78 if (t_6 == 0)return('6');
enricoan 43:cfc3da0299ff 79 if (t_7 == 0)return('7');
enricoan 43:cfc3da0299ff 80 if (t_8 == 0)return('8');
enricoan 54:74a215a1f76b 81 if (t_9 == 0)return('9');
enricoan 54:74a215a1f76b 82 return('k');}
enricoan 42:a6fbbe536814 83
enricoan 32:2a9b30e1e7ab 84 //funcao de movimentacao dos motores
enricoan 32:2a9b30e1e7ab 85 void mov_x_menos(){for(int i = 0; i < 4; i++){eixox = antihor[i];wait(t_motor);coord_x -= (5.625*5/32)/360;est_x = 0;}}
enricoan 32:2a9b30e1e7ab 86 void mov_y_menos(){for(int i = 0; i < 4; i++){eixoy = antihor[i];wait(t_motor);coord_y -= (5.625*5/32)/360;est_y = 0;}}
enricoan 32:2a9b30e1e7ab 87 void mov_z_menos(){for(int i = 0; i < 4; i++){eixoz = antihor[i];wait(t_motor);coord_z -= (5.625*5/32)/360;est_z = 0;}}
enricoan 32:2a9b30e1e7ab 88 void mov_x_mais() {for(int i = 0; i < 4; i++){eixox = hor[i];wait(t_motor);coord_x += (5.625*5/32)/360;est_x = 0;}}
enricoan 32:2a9b30e1e7ab 89 void mov_y_mais() {for(int i = 0; i < 4; i++){eixoy = hor[i];wait(t_motor);coord_y += (5.625*5/32)/360;est_y = 0;}}
enricoan 32:2a9b30e1e7ab 90 void mov_z_mais() {for(int i = 0; i < 4; i++){eixoz = hor[i];wait(t_motor);coord_z += (5.625*5/32)/360;est_z = 0;}}
enricoan 32:2a9b30e1e7ab 91
enricoan 32:2a9b30e1e7ab 92 //Funcao de interrupcao
enricoan 32:2a9b30e1e7ab 93 void emergencia(){TextLCD lcd(D4, D5, D6, D7, D8, D9);
enricoan 32:2a9b30e1e7ab 94 rele1 = 0;
amandarm 25:b0db528c1985 95 eixox = 0b0000;
amandarm 25:b0db528c1985 96 eixoy = 0b0000;
amandarm 25:b0db528c1985 97 eixoz = 0b0000;
amandarm 25:b0db528c1985 98 lcd.cls();
enricoan 29:76d952358896 99 lcd.printf("Botao Emergencia");
amandarm 25:b0db528c1985 100 lcd.locate(0,1);
amandarm 25:b0db528c1985 101 lcd.printf(" acionado ");
amandarm 25:b0db528c1985 102 lcd.locate(0,2);
amandarm 46:e73e36a20134 103 lcd.printf("Operacao pausada");
amandarm 46:e73e36a20134 104 wait(5);}
enricoan 56:e748b9bd5e3c 105
enricoan 29:76d952358896 106 //Funcao de zeramento
amandarm 57:e26deee15b8f 107
amandarm 27:45f8125a0c0b 108 void zeramento(){
amandarm 27:45f8125a0c0b 109 while (fdc_x != 0){for(int i = 0; i < 4; i++){eixox = hor[i];wait(t_motor);est_x = 1;}}
amandarm 27:45f8125a0c0b 110 while (fdc_y != 0){for(int i = 0; i < 4; i++){eixoy = hor[i];wait(t_motor);est_y = 1;}}
enricoan 54:74a215a1f76b 111 while (fdc_z != 0){for(int i = 0; i < 4; i++){eixoz = hor[i];wait(t_motor);est_z = 1;}}}
enricoan 29:76d952358896 112
enricoan 54:74a215a1f76b 113 //Variaveis para operacao IHM
enricoan 29:76d952358896 114 using std::string;
enricoan 32:2a9b30e1e7ab 115 string status_tela = "zeramento";
amandarm 35:a8256801e9ab 116 int programa = 0;
enricoan 29:76d952358896 117
amandarm 55:4d071fb49f24 118 float pos_x_pega = 0;
amandarm 55:4d071fb49f24 119 float pos_y_pega = 0;
amandarm 55:4d071fb49f24 120 float medida_lado_pega=0;
amandarm 55:4d071fb49f24 121 float volume_pega = 0;
amandarm 55:4d071fb49f24 122 int tipo_pega=0;
amandarm 55:4d071fb49f24 123
amandarm 55:4d071fb49f24 124 float dimensao_solta=0;
amandarm 55:4d071fb49f24 125
amandarm 55:4d071fb49f24 126 float volume_solta_1=0;
amandarm 55:4d071fb49f24 127 float volume_solta_2=0;
amandarm 55:4d071fb49f24 128 float volume_solta_3=0;
amandarm 55:4d071fb49f24 129 float volume_solta_4=0;
amandarm 55:4d071fb49f24 130 float volume_solta_5=0;
amandarm 55:4d071fb49f24 131 float volume_solta_6=0;
amandarm 55:4d071fb49f24 132 float volume_solta_7=0;
amandarm 55:4d071fb49f24 133 float volume_solta_8=0;
amandarm 55:4d071fb49f24 134 float volume_solta_9=0;
amandarm 55:4d071fb49f24 135
amandarm 55:4d071fb49f24 136 float pos_x_solta=0;
amandarm 55:4d071fb49f24 137 float pos_y_solta=0;
amandarm 55:4d071fb49f24 138
amandarm 57:e26deee15b8f 139 //Função IHM - OK
amandarm 57:e26deee15b8f 140 void funcao_ok_pega(){
amandarm 57:e26deee15b8f 141 TextLCD lcd(D4, D5, D6, D7, D8, D9);
amandarm 57:e26deee15b8f 142 if(pos_y_pega !=0){
amandarm 57:e26deee15b8f 143 lcd.locate(18,1);lcd.printf("OK");
amandarm 57:e26deee15b8f 144 }
amandarm 57:e26deee15b8f 145 if(medida_lado_pega !=0){
amandarm 57:e26deee15b8f 146 lcd.locate(18,1);lcd.printf("OK");
amandarm 57:e26deee15b8f 147 }
amandarm 57:e26deee15b8f 148 if(volume_pega !=0){
amandarm 57:e26deee15b8f 149 lcd.locate(18,0);lcd.printf("OK");
amandarm 57:e26deee15b8f 150 }
amandarm 57:e26deee15b8f 151 }
amandarm 57:e26deee15b8f 152
amandarm 57:e26deee15b8f 153 void funcao_ok_solta(){
amandarm 57:e26deee15b8f 154 TextLCD lcd(D4, D5, D6, D7, D8, D9);
amandarm 57:e26deee15b8f 155 if(pos_y_solta !=0){
amandarm 57:e26deee15b8f 156 lcd.locate(18,1);lcd.printf("OK");
amandarm 57:e26deee15b8f 157 }
amandarm 57:e26deee15b8f 158 if(dimensao_solta !=0){
amandarm 57:e26deee15b8f 159 lcd.locate(18,1);lcd.printf("OK");
amandarm 57:e26deee15b8f 160 }
amandarm 57:e26deee15b8f 161 if(volume_solta_9 !=0){
amandarm 57:e26deee15b8f 162 lcd.locate(18,0);lcd.printf("OK");
amandarm 57:e26deee15b8f 163 }
amandarm 57:e26deee15b8f 164 }
amandarm 57:e26deee15b8f 165
amandarm 57:e26deee15b8f 166 //main
amandarm 57:e26deee15b8f 167
enricoan 29:76d952358896 168 int main() {
enricoan 56:e748b9bd5e3c 169 interrupcao.fall(&emergencia);
enricoan 54:74a215a1f76b 170 int volume;
enricoan 54:74a215a1f76b 171 bool continuar;
enricoan 54:74a215a1f76b 172 int multiplicador;
enricoan 32:2a9b30e1e7ab 173 TextLCD lcd(D4, D5, D6, D7, D8, D9);
enricoan 29:76d952358896 174 lcd.printf("Bem-vindo ao Pipemax");
enricoan 29:76d952358896 175 lcd.locate(0,1);
enricoan 29:76d952358896 176 lcd.printf("o seu sistema");
enricoan 29:76d952358896 177 lcd.locate(0,2);
enricoan 29:76d952358896 178 lcd.printf("automatizado");
enricoan 29:76d952358896 179 lcd.locate(0,3);
enricoan 29:76d952358896 180 lcd.printf("de pipetagem");
enricoan 33:a513498222c7 181 wait(3);
enricoan 28:c4733814201a 182 lcd.cls();
enricoan 29:76d952358896 183 lcd.printf("Por favor, aceite");
amandarm 27:45f8125a0c0b 184 lcd.locate(0,1);
enricoan 29:76d952358896 185 lcd.printf("o zeramento do seu");
amandarm 27:45f8125a0c0b 186 lcd.locate(0,2);
enricoan 29:76d952358896 187 lcd.printf("sistema");
amandarm 27:45f8125a0c0b 188 lcd.locate(0,3);
enricoan 56:e748b9bd5e3c 189 lcd.printf("A - proximo");
enricoan 33:a513498222c7 190 while(1) {
enricoan 50:cd54c98b6ef1 191 tecla = pega_tecla();
enricoan 50:cd54c98b6ef1 192 printf("\n%s",status_tela);
enricoan 50:cd54c98b6ef1 193 printf("\n%c",tecla);
enricoan 29:76d952358896 194 if(tecla == 'A' && status_tela == "zeramento"){
amandarm 27:45f8125a0c0b 195 zeramento();
enricoan 33:a513498222c7 196 status_tela = "inicio";
enricoan 29:76d952358896 197 funcao_inicio();}
enricoan 43:cfc3da0299ff 198
enricoan 53:1d0bb62c117f 199 /*tentativa de fazer o motor parar ao apertar algum dos fins de curso
enricoan 18:3c40a8a17963 200 if(fdc_x == 0 || fdc_y == 0 || fdc_z == 0){
enricoan 18:3c40a8a17963 201 for(int i = 0; i < 4; i++){eixox = parado[i];wait(t_motor);est_x = 1;}
enricoan 18:3c40a8a17963 202 for(int i = 0; i < 4; i++){eixoy = parado[i];wait(t_motor);est_y = 1;}
enricoan 18:3c40a8a17963 203 for(int i = 0; i < 4; i++){eixoz = parado[i];wait(t_motor);est_z = 1;}
enricoan 53:1d0bb62c117f 204 }*/
enricoan 19:ebe198368444 205 if (x_mais == 0 && est_x == 1){for(int i = 0; i < 4; i++){eixox = parado[i];wait(t_motor);coord_x += 0;lcd.cls();lcd.printf("Limite de x atingido");}}
enricoan 19:ebe198368444 206 if (y_mais == 0 && est_y == 1){for(int i = 0; i < 4; i++){eixoy = parado[i];wait(t_motor);coord_y += 0;lcd.cls();lcd.printf("Limite de y atingido");}}
amandarm 27:45f8125a0c0b 207 if (z_mais == 0 && est_z == 1){for(int i = 0; i < 4; i++){eixoz = parado[i];wait(t_motor);coord_z += 0;lcd.cls();lcd.printf("Limite de z atingido");}}
amandarm 27:45f8125a0c0b 208
enricoan 33:a513498222c7 209 while(x_menos == 0){mov_x_menos();
enricoan 54:74a215a1f76b 210 lcd.cls(); lcd.printf("X: %4.1f", coord_x);
enricoan 32:2a9b30e1e7ab 211 lcd.locate(0,1);lcd.printf("Y: %4.1f", coord_y);
enricoan 32:2a9b30e1e7ab 212 lcd.locate(0,2);lcd.printf("Z: %4.1f", coord_z);}
enricoan 32:2a9b30e1e7ab 213
enricoan 33:a513498222c7 214 while(y_menos == 0){mov_y_menos();
enricoan 54:74a215a1f76b 215 lcd.cls(); lcd.printf("X: %4.1f", coord_x);
enricoan 32:2a9b30e1e7ab 216 lcd.locate(0,1);lcd.printf("Y: %4.1f", coord_y);
enricoan 32:2a9b30e1e7ab 217 lcd.locate(0,2);lcd.printf("Z: %4.1f", coord_z);}
enricoan 32:2a9b30e1e7ab 218
enricoan 33:a513498222c7 219 while(z_menos == 0){mov_z_menos();
enricoan 54:74a215a1f76b 220 lcd.cls(); lcd.printf("X: %4.1f", coord_x);
enricoan 32:2a9b30e1e7ab 221 lcd.locate(0,1);lcd.printf("Y: %4.1f", coord_y);
enricoan 32:2a9b30e1e7ab 222 lcd.locate(0,2);lcd.printf("Z: %4.1f", coord_z);}
enricoan 32:2a9b30e1e7ab 223
enricoan 33:a513498222c7 224 while(x_mais == 0){mov_x_mais();
enricoan 54:74a215a1f76b 225 lcd.cls(); lcd.printf("X: %4.1f", coord_x);
enricoan 33:a513498222c7 226 lcd.locate(0,1);lcd.printf("Y: %4.1f", coord_y);
enricoan 33:a513498222c7 227 lcd.locate(0,2);lcd.printf("Z: %4.1f", coord_z);}
enricoan 33:a513498222c7 228
enricoan 33:a513498222c7 229 while(y_mais == 0){mov_y_mais();
enricoan 54:74a215a1f76b 230 lcd.cls(); lcd.printf("X: %4.1f", coord_x);
enricoan 33:a513498222c7 231 lcd.locate(0,1);lcd.printf("Y: %4.1f", coord_y);
enricoan 33:a513498222c7 232 lcd.locate(0,2);lcd.printf("Z: %4.1f", coord_z);}
enricoan 33:a513498222c7 233
enricoan 33:a513498222c7 234 while(z_mais == 0){mov_z_mais();
enricoan 54:74a215a1f76b 235 lcd.cls(); lcd.printf("X: %4.1f", coord_x);
enricoan 33:a513498222c7 236 lcd.locate(0,1);lcd.printf("Y: %4.1f", coord_y);
enricoan 33:a513498222c7 237 lcd.locate(0,2);lcd.printf("Z: %4.1f", coord_z);}
enricoan 33:a513498222c7 238
enricoan 33:a513498222c7 239 if(x_mais && x_menos && y_mais && y_menos && z_mais && z_menos != 0){
enricoan 16:70baa0057a76 240 for(int i = 0; i < 4; i++){eixox = parado[i];}
enricoan 16:70baa0057a76 241 for(int i = 0; i < 4; i++){eixoy = parado[i];}
enricoan 33:a513498222c7 242 for(int i = 0; i < 4; i++){eixoz = parado[i];}}
amandarm 35:a8256801e9ab 243
enricoan 43:cfc3da0299ff 244 tecla = pega_tecla();
enricoan 28:c4733814201a 245 if(tecla =='1' && status_tela == "inicio"){
amandarm 26:4123b9462158 246 funcao_pega1();
enricoan 29:76d952358896 247 wait(1);
amandarm 26:4123b9462158 248 funcao_pega2();
enricoan 32:2a9b30e1e7ab 249 status_tela = "novo";}
enricoan 28:c4733814201a 250 else if(tecla == '2' && status_tela == "inicio"){
amandarm 26:4123b9462158 251 funcao_salvo1();
enricoan 29:76d952358896 252 wait(1);
amandarm 26:4123b9462158 253 funcao_salvo2();
enricoan 32:2a9b30e1e7ab 254 status_tela = "salvo";}
amandarm 35:a8256801e9ab 255
enricoan 43:cfc3da0299ff 256 tecla = pega_tecla();
amandarm 35:a8256801e9ab 257 if(tecla =='1' && status_tela =="salvo"){
amandarm 35:a8256801e9ab 258 funcao_salvo_agree1();
amandarm 35:a8256801e9ab 259 wait(1);
amandarm 35:a8256801e9ab 260 funcao_salvo_agree2();
amandarm 35:a8256801e9ab 261 programa = 1;
amandarm 35:a8256801e9ab 262 status_tela = "salvo_confirmacao";}
amandarm 35:a8256801e9ab 263 else if(tecla =='2' && status_tela =="salvo"){
amandarm 35:a8256801e9ab 264 funcao_salvo_agree1();
amandarm 35:a8256801e9ab 265 wait(1);
amandarm 35:a8256801e9ab 266 funcao_salvo_agree2();
amandarm 35:a8256801e9ab 267 programa = 2;
amandarm 35:a8256801e9ab 268 status_tela = "salvo_confirmacao";}
amandarm 35:a8256801e9ab 269 else if(tecla =='3' && status_tela =="salvo"){
amandarm 35:a8256801e9ab 270 funcao_salvo_agree1();
amandarm 35:a8256801e9ab 271 wait(1);
amandarm 35:a8256801e9ab 272 funcao_salvo_agree2();
amandarm 35:a8256801e9ab 273 programa = 3;
amandarm 35:a8256801e9ab 274 status_tela = "salvo_confirmacao";}
amandarm 35:a8256801e9ab 275 else if(tecla =='4' && status_tela =="salvo"){
amandarm 35:a8256801e9ab 276 funcao_salvo_agree1();
amandarm 35:a8256801e9ab 277 wait(1);
amandarm 35:a8256801e9ab 278 funcao_salvo_agree2();
amandarm 35:a8256801e9ab 279 programa = 4;
amandarm 35:a8256801e9ab 280 status_tela = "salvo_confirmacao";}
amandarm 35:a8256801e9ab 281 else if(tecla =='5' && status_tela =="salvo"){
amandarm 35:a8256801e9ab 282 funcao_salvo_agree1();
amandarm 35:a8256801e9ab 283 wait(1);
amandarm 35:a8256801e9ab 284 funcao_salvo_agree2();
amandarm 35:a8256801e9ab 285 programa = 5;
amandarm 35:a8256801e9ab 286 status_tela = "salvo_confirmacao";}
amandarm 44:f0b7a2fe28d9 287 else if(tecla =='6' && status_tela =="salvo"){
amandarm 44:f0b7a2fe28d9 288 funcao_salvo_agree1();
amandarm 44:f0b7a2fe28d9 289 wait(1);
amandarm 44:f0b7a2fe28d9 290 funcao_salvo_agree2();
amandarm 44:f0b7a2fe28d9 291 programa = 6;
amandarm 44:f0b7a2fe28d9 292 status_tela = "salvo_confirmacao";}
amandarm 35:a8256801e9ab 293 else if(tecla =='B' && status_tela =="salvo"){
amandarm 47:0d9c10a9f87d 294 funcao_inicio();
amandarm 35:a8256801e9ab 295 status_tela = "inicio";}
amandarm 35:a8256801e9ab 296
enricoan 43:cfc3da0299ff 297 tecla = pega_tecla();
amandarm 35:a8256801e9ab 298 if(tecla =='1' && status_tela =="salvo_confirmacao"){
amandarm 35:a8256801e9ab 299 funcao_salvo_agree_pos_aviso();
amandarm 44:f0b7a2fe28d9 300 wait(3);
amandarm 36:12c0f6dfb3dc 301 //posicionar no local da pega salvo; (motor -> programa.pos_x_pega e motor -> programa.pos_y_pega)
amandarm 35:a8256801e9ab 302 funcao_salvo_agree_pos_certo();
amandarm 49:4625aaa408fc 303 status_tela="salvo_pos_certo_pega" ;}
amandarm 35:a8256801e9ab 304 else if(tecla =='2' && status_tela =="salvo_confirmacao"){
amandarm 35:a8256801e9ab 305 funcao_salvo_agree_solta_aviso();
amandarm 44:f0b7a2fe28d9 306 wait(3);
amandarm 36:12c0f6dfb3dc 307 //posicionar no local de solta para confirmação; (motor -> programa.pos_x_solta e motor -> programa.pos_y_solta)
amandarm 35:a8256801e9ab 308 funcao_salvo_agree_pos_certo();
amandarm 49:4625aaa408fc 309 status_tela = "salvo_pos_certo_solta";}
amandarm 35:a8256801e9ab 310 else if(tecla =='3' && status_tela =="salvo_confirmacao"){
amandarm 35:a8256801e9ab 311 funcao_salvo_agree_pega_vol();
amandarm 36:12c0f6dfb3dc 312 //programação de volume igual da de cima (programa.vol_pega)
amandarm 52:dca562b09080 313 status_tela="salvo_conf_vol_pega";}
amandarm 35:a8256801e9ab 314 else if(tecla =='4' && status_tela =="salvo_confirmacao"){
amandarm 35:a8256801e9ab 315 funcao_salvo_agree_solta_vol();
amandarm 36:12c0f6dfb3dc 316 //volumes configurados por cada pipeta. (programa.vol_solta_pipeta)
amandarm 35:a8256801e9ab 317 status_tela="salvo_conf_vol_solta";}
amandarm 35:a8256801e9ab 318 else if(tecla =='B' && status_tela =="salvo_confirmacao"){
amandarm 47:0d9c10a9f87d 319 funcao_salvo1();
amandarm 35:a8256801e9ab 320 wait(1);
amandarm 47:0d9c10a9f87d 321 funcao_salvo2();
amandarm 35:a8256801e9ab 322 programa = 1;
amandarm 35:a8256801e9ab 323 status_tela = "salvo";}
amandarm 35:a8256801e9ab 324
enricoan 43:cfc3da0299ff 325 tecla = pega_tecla();
amandarm 49:4625aaa408fc 326 if(tecla =='1' && status_tela =="salvo_pos_certo_pega"){
amandarm 48:135139e2971f 327 funcao_salvo_agree1();
amandarm 52:dca562b09080 328 lcd.locate(18,1);lcd.printf("OK");
amandarm 48:135139e2971f 329 wait(1);
amandarm 48:135139e2971f 330 funcao_salvo_agree2();
enricoan 53:1d0bb62c117f 331 status_tela = "salvo_confirmacao";
amandarm 48:135139e2971f 332 }//salva essa posição como correta
amandarm 49:4625aaa408fc 333 else if(tecla =='2' && status_tela =="salvo_pos_certo_pega"){}
amandarm 49:4625aaa408fc 334 else if(tecla =='B' && status_tela =="salvo_pos_certo_pega"){
amandarm 35:a8256801e9ab 335 funcao_salvo_agree1();
amandarm 35:a8256801e9ab 336 wait(1);
amandarm 35:a8256801e9ab 337 funcao_salvo_agree2();
amandarm 48:135139e2971f 338 status_tela = "salvo_confirmacao";}
amandarm 48:135139e2971f 339
amandarm 52:dca562b09080 340 if(tecla =='A' && status_tela =="salvo_conf_vol_pega"){
amandarm 52:dca562b09080 341 funcao_salvo_agree1();
amandarm 52:dca562b09080 342 wait(1);
amandarm 52:dca562b09080 343 funcao_salvo_agree2();
amandarm 52:dca562b09080 344 lcd.locate(18,1);lcd.printf("OK");
enricoan 53:1d0bb62c117f 345 status_tela = "salvo_confirmacao";
amandarm 52:dca562b09080 346 }//salva essa posição como correta
amandarm 52:dca562b09080 347 else if(tecla =='B' && status_tela =="salvo_conf_vol_pega"){
amandarm 52:dca562b09080 348 funcao_salvo_agree1();
amandarm 52:dca562b09080 349 wait(1);
amandarm 52:dca562b09080 350 funcao_salvo_agree2();
amandarm 52:dca562b09080 351 status_tela = "salvo_confirmacao";}
amandarm 52:dca562b09080 352
amandarm 49:4625aaa408fc 353 if(tecla =='1' && status_tela =="salvo_pos_certo_solta"){
amandarm 49:4625aaa408fc 354 funcao_salvo_agree1();
amandarm 52:dca562b09080 355 lcd.locate(18,2);lcd.printf("OK");
amandarm 49:4625aaa408fc 356 wait(1);
amandarm 49:4625aaa408fc 357 funcao_salvo_agree2();
enricoan 53:1d0bb62c117f 358 status_tela = "salvo_confirmacao";
amandarm 49:4625aaa408fc 359 }//salva essa posição como correta
amandarm 49:4625aaa408fc 360 else if(tecla =='2' && status_tela =="salvo_pos_certo_solta"){}
amandarm 49:4625aaa408fc 361 else if(tecla =='B' && status_tela =="salvo_pos_certo_solta"){
amandarm 49:4625aaa408fc 362 funcao_salvo_agree1();
amandarm 49:4625aaa408fc 363 wait(1);
amandarm 49:4625aaa408fc 364 funcao_salvo_agree2();
amandarm 49:4625aaa408fc 365 status_tela = "salvo_confirmacao";}
amandarm 49:4625aaa408fc 366
amandarm 52:dca562b09080 367 if(tecla =='A' && status_tela =="salvo_conf_vol_solta"){
amandarm 48:135139e2971f 368 funcao_salvo_agree1();
amandarm 48:135139e2971f 369 wait(1);
amandarm 48:135139e2971f 370 funcao_salvo_agree2();
amandarm 52:dca562b09080 371 lcd.locate(18,2);lcd.printf("OK");
enricoan 53:1d0bb62c117f 372 status_tela = "salvo_confirmacao";
amandarm 48:135139e2971f 373 }//salva essa posição como correta
amandarm 48:135139e2971f 374 else if(tecla =='2' && status_tela =="salvo_conf_vol_solta"){}
amandarm 48:135139e2971f 375 else if(tecla =='B' && status_tela =="salvo_conf_vol_solta"){
amandarm 48:135139e2971f 376 funcao_salvo_agree1();
amandarm 48:135139e2971f 377 wait(1);
amandarm 48:135139e2971f 378 funcao_salvo_agree2();
amandarm 35:a8256801e9ab 379 status_tela = "salvo_confirmacao";}
amandarm 35:a8256801e9ab 380
enricoan 43:cfc3da0299ff 381 tecla = pega_tecla();
enricoan 32:2a9b30e1e7ab 382 if(tecla =='1' && status_tela =="novo"){
amandarm 26:4123b9462158 383 funcao_pega_forma();
enricoan 32:2a9b30e1e7ab 384 status_tela ="forma";}
enricoan 53:1d0bb62c117f 385
enricoan 28:c4733814201a 386 else if(tecla =='2' && status_tela == "novo"){
enricoan 54:74a215a1f76b 387 volume = 0;
enricoan 54:74a215a1f76b 388 printf("\n%c",pega_tecla());
enricoan 53:1d0bb62c117f 389 funcao_pega_volume(); //!!!!!!!!!!!!!!!!!!!!!!!!!!!WTFFFFF
Hiroball 34:1b5a00c55376 390 status_tela = "volume";
enricoan 54:74a215a1f76b 391 continuar = 1;
enricoan 54:74a215a1f76b 392 multiplicador = 10;
enricoan 54:74a215a1f76b 393 while(continuar){
enricoan 54:74a215a1f76b 394 printf("\n%c",pega_tecla());
enricoan 43:cfc3da0299ff 395 tecla = pega_tecla();
enricoan 54:74a215a1f76b 396 wait(1);
enricoan 54:74a215a1f76b 397 if(tecla!= 'A' && tecla!='B' && tecla!= 'k'){
enricoan 53:1d0bb62c117f 398 lcd.locate(6,1);
Hiroball 34:1b5a00c55376 399 volume = multiplicador*volume + (int)tecla;
enricoan 54:74a215a1f76b 400 printf("\nVolume: %d", volume);
Hiroball 34:1b5a00c55376 401 }
enricoan 53:1d0bb62c117f 402 if(tecla == 'A'){
enricoan 53:1d0bb62c117f 403 lcd.locate(0,2);
Hiroball 34:1b5a00c55376 404 lcd.printf("Volume: %d", volume);
Hiroball 34:1b5a00c55376 405 continuar = 0;
enricoan 54:74a215a1f76b 406 wait(1);
Hiroball 34:1b5a00c55376 407 }
Hiroball 34:1b5a00c55376 408 }
Hiroball 34:1b5a00c55376 409 }
enricoan 28:c4733814201a 410 else if(tecla == '3' && status_tela == "novo"){
amandarm 26:4123b9462158 411 funcao_pega_pos_x();
amandarm 55:4d071fb49f24 412 pos_x_pega = 12.5;
enricoan 32:2a9b30e1e7ab 413 status_tela = "pos_x";}
enricoan 28:c4733814201a 414 else if(tecla == 'B' && status_tela == "novo"){
amandarm 26:4123b9462158 415 funcao_inicio();
enricoan 32:2a9b30e1e7ab 416 status_tela = "inicio";}
enricoan 28:c4733814201a 417 else if(tecla == '1' && status_tela == "salvo"){
amandarm 26:4123b9462158 418 funcao_salvo_agree1();
enricoan 32:2a9b30e1e7ab 419 status_tela = "confirmacao";}
enricoan 28:c4733814201a 420 else if(tecla =='2' && status_tela == "salvo"){
amandarm 26:4123b9462158 421 funcao_salvo_agree1();
enricoan 32:2a9b30e1e7ab 422 status_tela = "confirmacao";}
enricoan 28:c4733814201a 423 else if(tecla =='B' && status_tela == "salvo"){
amandarm 26:4123b9462158 424 funcao_inicio();
enricoan 32:2a9b30e1e7ab 425 status_tela = "inicio";}
amandarm 35:a8256801e9ab 426
enricoan 56:e748b9bd5e3c 427 tecla = 'F';
enricoan 56:e748b9bd5e3c 428 wait(1);
enricoan 43:cfc3da0299ff 429 tecla = pega_tecla();
enricoan 28:c4733814201a 430 if(tecla == '1' && status_tela == "forma"){
amandarm 26:4123b9462158 431 funcao_pega_forma_quad();
amandarm 55:4d071fb49f24 432 tipo_pega = 1;
enricoan 32:2a9b30e1e7ab 433 status_tela ="forma_quad";}
enricoan 28:c4733814201a 434 else if(tecla == '2' && status_tela == "forma"){
amandarm 26:4123b9462158 435 funcao_pega_forma_red();
enricoan 56:e748b9bd5e3c 436 tipo_pega = 2;
amandarm 55:4d071fb49f24 437 status_tela = "forma_red";}
enricoan 28:c4733814201a 438 else if(tecla == 'B' && status_tela == "forma"){
enricoan 56:e748b9bd5e3c 439 funcao_pega1();
enricoan 56:e748b9bd5e3c 440 wait(1);
enricoan 56:e748b9bd5e3c 441 funcao_pega2();
enricoan 32:2a9b30e1e7ab 442 status_tela = "novo";}
amandarm 35:a8256801e9ab 443
enricoan 43:cfc3da0299ff 444 tecla = pega_tecla();
enricoan 28:c4733814201a 445 if(tecla == 'A' && status_tela == "forma_quad"){
amandarm 26:4123b9462158 446 funcao_pega1();
amandarm 55:4d071fb49f24 447 medida_lado_pega = 10;
amandarm 57:e26deee15b8f 448 funcao_ok_solta();
enricoan 29:76d952358896 449 wait(1);
amandarm 26:4123b9462158 450 funcao_pega2();
amandarm 57:e26deee15b8f 451 funcao_ok_solta();
enricoan 56:e748b9bd5e3c 452 status_tela ="novo";}
enricoan 28:c4733814201a 453 else if(tecla == 'B' && status_tela == "forma_quad"){
amandarm 26:4123b9462158 454 funcao_pega_forma();
enricoan 32:2a9b30e1e7ab 455 status_tela = "forma";}
enricoan 28:c4733814201a 456 else if(tecla == 'A' && status_tela == "forma_red"){
amandarm 55:4d071fb49f24 457 medida_lado_pega = 10;
amandarm 26:4123b9462158 458 funcao_pega1();
amandarm 57:e26deee15b8f 459 funcao_ok_pega();
enricoan 29:76d952358896 460 wait(1);
amandarm 26:4123b9462158 461 funcao_pega2();
amandarm 57:e26deee15b8f 462 funcao_ok_pega();
enricoan 56:e748b9bd5e3c 463 status_tela ="novo";}
enricoan 28:c4733814201a 464 else if(tecla == 'B' && status_tela == "forma_red"){
amandarm 26:4123b9462158 465 funcao_pega_forma();
enricoan 32:2a9b30e1e7ab 466 status_tela = "forma";}
enricoan 28:c4733814201a 467 else if(tecla == 'A' && status_tela == "volume"){
amandarm 26:4123b9462158 468 funcao_pega1();
amandarm 55:4d071fb49f24 469 volume_pega = 12;
amandarm 57:e26deee15b8f 470 funcao_ok_pega();
enricoan 29:76d952358896 471 wait(1);
amandarm 26:4123b9462158 472 funcao_pega2();
amandarm 57:e26deee15b8f 473 funcao_ok_pega();
enricoan 56:e748b9bd5e3c 474 status_tela ="novo";}
enricoan 28:c4733814201a 475 else if( tecla == 'B' && status_tela == "volume"){
amandarm 26:4123b9462158 476 funcao_pega_forma();
enricoan 32:2a9b30e1e7ab 477 status_tela = "forma";}
enricoan 28:c4733814201a 478 else if(tecla == 'A' && status_tela == "pos_x"){
enricoan 29:76d952358896 479 funcao_pega_pos_y(); //colocar programacao que contem o posicionamento do eixo y
amandarm 55:4d071fb49f24 480 pos_y_pega = 12.5;
enricoan 32:2a9b30e1e7ab 481 status_tela = "pos_y";}
enricoan 28:c4733814201a 482 else if(tecla == 'B' && status_tela == "pos_x"){
amandarm 26:4123b9462158 483 funcao_pega_forma();
enricoan 32:2a9b30e1e7ab 484 status_tela = "forma";}
amandarm 35:a8256801e9ab 485
enricoan 43:cfc3da0299ff 486 tecla = pega_tecla();
enricoan 28:c4733814201a 487 if(tecla == 'A' && status_tela == "pos_y"){
amandarm 26:4123b9462158 488 funcao_pega1();
amandarm 57:e26deee15b8f 489 funcao_ok_pega();
enricoan 29:76d952358896 490 wait(1);
amandarm 26:4123b9462158 491 funcao_pega2();
amandarm 57:e26deee15b8f 492 funcao_ok_pega();
enricoan 56:e748b9bd5e3c 493 wait(1);
enricoan 56:e748b9bd5e3c 494 status_tela ="novo";}
enricoan 28:c4733814201a 495 else if(tecla == 'B' && status_tela == "pos_y"){
amandarm 26:4123b9462158 496 funcao_pega_forma();
enricoan 32:2a9b30e1e7ab 497 status_tela = "forma";}
amandarm 55:4d071fb49f24 498
amandarm 55:4d071fb49f24 499
amandarm 55:4d071fb49f24 500 tecla=pega_tecla();
enricoan 56:e748b9bd5e3c 501 if(pos_x_pega!=0 && pos_y_pega!=0 && medida_lado_pega!=0 && volume_pega !=0 && tipo_pega!=0 && status_tela == "novo"){
amandarm 55:4d071fb49f24 502 funcao_solta1();
amandarm 55:4d071fb49f24 503 wait(3);
amandarm 55:4d071fb49f24 504 funcao_solta2();
amandarm 55:4d071fb49f24 505 wait(3);
enricoan 56:e748b9bd5e3c 506 status_tela="solta";}
amandarm 55:4d071fb49f24 507
amandarm 55:4d071fb49f24 508 tecla=pega_tecla();
amandarm 55:4d071fb49f24 509 if(tecla == '1' && status_tela == "solta"){
amandarm 55:4d071fb49f24 510 funcao_solta_dimensao();
amandarm 55:4d071fb49f24 511 status_tela="solta_dimensao";
amandarm 55:4d071fb49f24 512 }
amandarm 55:4d071fb49f24 513 else if(tecla == '2' && status_tela == "solta"){
amandarm 55:4d071fb49f24 514 funcao_solta_vol();
amandarm 55:4d071fb49f24 515 status_tela="solta_vol";
amandarm 55:4d071fb49f24 516 }
amandarm 55:4d071fb49f24 517 else if(tecla == '3' && status_tela == "solta"){
amandarm 55:4d071fb49f24 518 funcao_solta_pos_x();
amandarm 55:4d071fb49f24 519 status_tela="solta_pos_x";
amandarm 55:4d071fb49f24 520 }
amandarm 55:4d071fb49f24 521 else if(tecla == 'B' && status_tela == "solta"){
amandarm 55:4d071fb49f24 522 funcao_pega1();
amandarm 57:e26deee15b8f 523 funcao_ok_solta();
amandarm 55:4d071fb49f24 524 wait(1);
amandarm 55:4d071fb49f24 525 funcao_pega2();
amandarm 57:e26deee15b8f 526 funcao_ok_solta();
amandarm 55:4d071fb49f24 527 status_tela="inicio";
amandarm 55:4d071fb49f24 528 }
amandarm 55:4d071fb49f24 529
amandarm 55:4d071fb49f24 530 tecla=pega_tecla();
amandarm 55:4d071fb49f24 531 if(tecla=='A' && status_tela=="solta_dimensao"){
amandarm 55:4d071fb49f24 532 dimensao_solta = 10;
amandarm 55:4d071fb49f24 533 funcao_solta1();
amandarm 57:e26deee15b8f 534 funcao_ok_solta();
amandarm 55:4d071fb49f24 535 wait(3);
amandarm 55:4d071fb49f24 536 funcao_solta2();
amandarm 55:4d071fb49f24 537 wait(3);
amandarm 55:4d071fb49f24 538 status_tela="solta";
amandarm 55:4d071fb49f24 539 }
amandarm 55:4d071fb49f24 540 else if(tecla=='B' && status_tela=="solta_dimensao"){
amandarm 55:4d071fb49f24 541 funcao_solta1();
amandarm 55:4d071fb49f24 542 wait(3);
amandarm 55:4d071fb49f24 543 funcao_solta2();
amandarm 55:4d071fb49f24 544 wait(3);
amandarm 55:4d071fb49f24 545 status_tela="solta";
amandarm 55:4d071fb49f24 546 }
amandarm 55:4d071fb49f24 547 if(tecla=='1' && status_tela=="solta_vol"){
amandarm 55:4d071fb49f24 548 funcao_solta_vol_igual();
amandarm 55:4d071fb49f24 549 status_tela="solta_vol_igual";
amandarm 55:4d071fb49f24 550 }
amandarm 55:4d071fb49f24 551 else if(tecla=='2' && status_tela=="solta_vol"){
amandarm 55:4d071fb49f24 552 funcao_solta_vol_cada();
amandarm 55:4d071fb49f24 553 status_tela="solta_vol_dif";
amandarm 55:4d071fb49f24 554 }
amandarm 55:4d071fb49f24 555 else if(tecla=='B' && status_tela=="solta_vol"){
amandarm 55:4d071fb49f24 556 funcao_solta1();
amandarm 55:4d071fb49f24 557 wait(3);
amandarm 55:4d071fb49f24 558 funcao_solta2();
amandarm 55:4d071fb49f24 559 wait(3);
amandarm 55:4d071fb49f24 560 status_tela="solta";
amandarm 55:4d071fb49f24 561 }
enricoan 56:e748b9bd5e3c 562 if(tecla=='A' && status_tela=="solta_pos_x"){
amandarm 55:4d071fb49f24 563 funcao_solta_pos_y();
amandarm 55:4d071fb49f24 564 pos_x_solta = 10;
enricoan 56:e748b9bd5e3c 565 status_tela="solta_pos_y";
amandarm 55:4d071fb49f24 566 }
enricoan 56:e748b9bd5e3c 567 else if(tecla=='B' && status_tela=="solta_pos_x"){
amandarm 55:4d071fb49f24 568 funcao_solta1();
amandarm 55:4d071fb49f24 569 wait(3);
amandarm 55:4d071fb49f24 570 funcao_solta2();
amandarm 55:4d071fb49f24 571 wait(3);
amandarm 55:4d071fb49f24 572 status_tela="solta";
amandarm 55:4d071fb49f24 573 }
amandarm 55:4d071fb49f24 574
amandarm 55:4d071fb49f24 575 tecla=pega_tecla();
amandarm 55:4d071fb49f24 576 if(tecla=='A' && status_tela=="solta_vol_igual"){
amandarm 55:4d071fb49f24 577 volume_solta_1=10;
amandarm 55:4d071fb49f24 578 volume_solta_2=10;
amandarm 55:4d071fb49f24 579 volume_solta_3=10;
amandarm 55:4d071fb49f24 580 volume_solta_4=10;
amandarm 55:4d071fb49f24 581 volume_solta_5=10;
amandarm 55:4d071fb49f24 582 volume_solta_6=10;
amandarm 55:4d071fb49f24 583 volume_solta_7=10;
amandarm 55:4d071fb49f24 584 volume_solta_8=10;
amandarm 55:4d071fb49f24 585 volume_solta_9=10;
amandarm 55:4d071fb49f24 586
amandarm 55:4d071fb49f24 587 funcao_solta1();
amandarm 57:e26deee15b8f 588 funcao_ok_solta();
amandarm 55:4d071fb49f24 589 wait(3);
amandarm 55:4d071fb49f24 590 funcao_solta2();
amandarm 57:e26deee15b8f 591 funcao_ok_solta();
amandarm 55:4d071fb49f24 592 wait(3);
enricoan 56:e748b9bd5e3c 593 status_tela="solta";}
amandarm 55:4d071fb49f24 594 else if(tecla=='B' && status_tela=="solta_vol_igual"){
amandarm 55:4d071fb49f24 595 funcao_solta_vol();
amandarm 55:4d071fb49f24 596 status_tela="solta_vol";
amandarm 55:4d071fb49f24 597 }
amandarm 55:4d071fb49f24 598 if(tecla=='1' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 599 volume_solta_1=10;
enricoan 56:e748b9bd5e3c 600 lcd.locate(12,0);lcd.printf("10");
amandarm 55:4d071fb49f24 601 }
amandarm 55:4d071fb49f24 602 if(tecla=='2' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 603 volume_solta_2=20;
enricoan 56:e748b9bd5e3c 604 lcd.locate(15,0);lcd.printf("20");
amandarm 55:4d071fb49f24 605 }
amandarm 55:4d071fb49f24 606 if(tecla=='3' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 607 volume_solta_3=30;
enricoan 56:e748b9bd5e3c 608 lcd.locate(18,0);lcd.printf("30");
amandarm 55:4d071fb49f24 609 }
amandarm 55:4d071fb49f24 610 if(tecla=='4' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 611 volume_solta_4=40;
enricoan 56:e748b9bd5e3c 612 lcd.locate(12,1);lcd.printf("40");
amandarm 55:4d071fb49f24 613 }
amandarm 55:4d071fb49f24 614 if(tecla=='5' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 615 volume_solta_5=50;
enricoan 56:e748b9bd5e3c 616 lcd.locate(15,1);lcd.printf("50");
amandarm 55:4d071fb49f24 617 }
amandarm 55:4d071fb49f24 618 if(tecla=='6' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 619 volume_solta_6=60;
enricoan 56:e748b9bd5e3c 620 lcd.locate(18,1);lcd.printf("60");
amandarm 55:4d071fb49f24 621 }
amandarm 55:4d071fb49f24 622 if(tecla=='7' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 623 volume_solta_7=70;
enricoan 56:e748b9bd5e3c 624 lcd.locate(12,2);lcd.printf("70");
amandarm 55:4d071fb49f24 625 }
amandarm 55:4d071fb49f24 626 if(tecla=='8' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 627 volume_solta_8=80;
enricoan 56:e748b9bd5e3c 628 lcd.locate(15,2);lcd.printf("80");
amandarm 55:4d071fb49f24 629 }
amandarm 55:4d071fb49f24 630 if(tecla=='9' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 631 volume_solta_9=90;
enricoan 56:e748b9bd5e3c 632 lcd.locate(18,2);lcd.printf("90");
amandarm 55:4d071fb49f24 633 }
amandarm 55:4d071fb49f24 634 if(tecla=='A' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 635 funcao_solta1();
amandarm 57:e26deee15b8f 636 funcao_ok_solta();
amandarm 55:4d071fb49f24 637 wait(3);
amandarm 55:4d071fb49f24 638 funcao_solta2();
amandarm 57:e26deee15b8f 639 funcao_ok_solta();
amandarm 55:4d071fb49f24 640 wait(3);
amandarm 55:4d071fb49f24 641 status_tela="solta";
amandarm 55:4d071fb49f24 642 }
amandarm 55:4d071fb49f24 643 else if(tecla=='B' && status_tela=="solta_vol_dif"){
amandarm 55:4d071fb49f24 644 funcao_solta_vol();
amandarm 55:4d071fb49f24 645 status_tela="solta_vol";
amandarm 55:4d071fb49f24 646 }
enricoan 56:e748b9bd5e3c 647 if(tecla=='A' && status_tela=="solta_pos_y"){
amandarm 55:4d071fb49f24 648 funcao_solta1();
amandarm 57:e26deee15b8f 649 funcao_ok_solta();
amandarm 55:4d071fb49f24 650 wait(3);
amandarm 55:4d071fb49f24 651 funcao_solta2();
amandarm 57:e26deee15b8f 652 funcao_ok_solta();
amandarm 55:4d071fb49f24 653 pos_y_solta = 10;
amandarm 55:4d071fb49f24 654 wait(3);
amandarm 55:4d071fb49f24 655 status_tela="solta";
amandarm 55:4d071fb49f24 656 }
enricoan 56:e748b9bd5e3c 657 else if(tecla=='B' && status_tela=="solta_pos_y"){
amandarm 55:4d071fb49f24 658 funcao_solta1();
amandarm 55:4d071fb49f24 659 wait(3);
amandarm 55:4d071fb49f24 660 funcao_solta2();
amandarm 55:4d071fb49f24 661 pos_y_solta = 10;
amandarm 55:4d071fb49f24 662 wait(3);
amandarm 55:4d071fb49f24 663 status_tela="solta";
amandarm 55:4d071fb49f24 664 }
amandarm 55:4d071fb49f24 665
amandarm 55:4d071fb49f24 666 if(pos_x_solta!=0 && pos_y_solta!=0 && volume_solta_9!=0 && dimensao_solta!=0 && status_tela=="solta"){
amandarm 55:4d071fb49f24 667 funcao_acomp();
amandarm 55:4d071fb49f24 668 status_tela="acompanhamento";
amandarm 55:4d071fb49f24 669 };
amandarm 55:4d071fb49f24 670 }
enricoan 10:4f49e9859535 671 }