Moet dit er bij

Dependencies:   mbed QEI HIDScope biquadFilter MODSERIAL FastPWM

Committer:
BasB
Date:
Tue Oct 29 14:04:26 2019 +0000
Revision:
3:6e28b992b99e
Parent:
2:7ea5ae2287a7
Child:
4:1e8da6b5f147
Hoplakee dit is gewoon die state machine waar ik over heb gehoord

Who changed what in which revision?

UserRevisionLine numberNew contents of line
BasB 0:335646ab45c0 1 #include "mbed.h"
BasB 0:335646ab45c0 2 #include "HIDScope.h"
BasB 0:335646ab45c0 3 #include "QEI.h"
BasB 0:335646ab45c0 4 #include "MODSERIAL.h"
BasB 0:335646ab45c0 5 #include "BiQuad.h"
BasB 0:335646ab45c0 6 #include "FastPWM.h"
BasB 0:335646ab45c0 7
BasB 0:335646ab45c0 8 // Button and potmeter1 control
BasB 0:335646ab45c0 9 InterruptIn button1(D11);
BasB 0:335646ab45c0 10 InterruptIn button2(D10);
BasB 0:335646ab45c0 11 InterruptIn buttonsw2(SW2);
BasB 0:335646ab45c0 12 InterruptIn buttonsw3(SW3);
BasB 0:335646ab45c0 13 AnalogIn potmeter1(A0);
BasB 0:335646ab45c0 14 AnalogIn potmeter2(A1);
BasB 0:335646ab45c0 15 AnalogIn potmeter3(A2);
BasB 0:335646ab45c0 16 AnalogIn potmeter4(A3);
BasB 2:7ea5ae2287a7 17
BasB 0:335646ab45c0 18 // Encoder
BasB 0:335646ab45c0 19 DigitalIn encA1(D9);
BasB 0:335646ab45c0 20 DigitalIn encB1(D8);
BasB 0:335646ab45c0 21 DigitalIn encA2(D13);
BasB 0:335646ab45c0 22 DigitalIn encB2(D13);
BasB 0:335646ab45c0 23 QEI encoder1(D9,D8,NC,64,QEI::X4_ENCODING); //Encoding motor 1
BasB 0:335646ab45c0 24 QEI encoder2(D13,D12,NC,64,QEI::X4_ENCODING); //Encoding motor 2
BasB 0:335646ab45c0 25 float Ts = 0.01; //Sample time
BasB 0:335646ab45c0 26 float motor1angle; //Measured angle motor 1
BasB 0:335646ab45c0 27 float motor2angle; //Measured angle motor 2
BasB 2:7ea5ae2287a7 28 float potmeter;
BasB 2:7ea5ae2287a7 29 float omega1=1; //velocity rad/s motor 1
BasB 0:335646ab45c0 30 float omega2; //Velocity rad/s motor2
BasB 0:335646ab45c0 31 float deg2rad=0.0174532; //Conversion factor degree to rad
BasB 0:335646ab45c0 32 float rad2deg=57.29578; //Conversion factor rad to degree
BasB 0:335646ab45c0 33
BasB 0:335646ab45c0 34
BasB 0:335646ab45c0 35 // Motor
BasB 0:335646ab45c0 36 DigitalOut motor2Direction(D4);
BasB 0:335646ab45c0 37 FastPWM motor2Power(D5);
BasB 0:335646ab45c0 38 DigitalOut motor1Direction(D7);
BasB 0:335646ab45c0 39 FastPWM motor1Power(D6);
BasB 0:335646ab45c0 40
BasB 0:335646ab45c0 41 volatile int motortoggle = 1; //Toggle to stop motors
BasB 0:335646ab45c0 42
BasB 0:335646ab45c0 43 //Motorcontrol
BasB 0:335646ab45c0 44 bool motordir1;
BasB 0:335646ab45c0 45 bool motordir2;
BasB 0:335646ab45c0 46 float motor1ref=0.1745;
BasB 0:335646ab45c0 47 float motor2ref=0.0873;
BasB 0:335646ab45c0 48 double controlsignal1;
BasB 0:335646ab45c0 49 double controlsignal2;
BasB 0:335646ab45c0 50 double pi2= 6.283185;
BasB 0:335646ab45c0 51 float motor1error; //motor 1 error
BasB 0:335646ab45c0 52 float motor2error;
BasB 0:335646ab45c0 53 float Kp=0.27;
BasB 0:335646ab45c0 54 float Ki=0.35;
BasB 0:335646ab45c0 55 float Kd=0.1;
BasB 0:335646ab45c0 56 float u_p1;
BasB 0:335646ab45c0 57 float u_p2;
BasB 0:335646ab45c0 58 float u_i1;
BasB 0:335646ab45c0 59 float u_i2;
BasB 0:335646ab45c0 60
BasB 0:335646ab45c0 61 //Windup control
BasB 0:335646ab45c0 62 float ux1;
BasB 0:335646ab45c0 63 float ux2;
BasB 0:335646ab45c0 64 float up1; //Proportional contribution motor 1
BasB 0:335646ab45c0 65 float up2; //Proportional contribution motor 2
BasB 0:335646ab45c0 66 float ek1;
BasB 0:335646ab45c0 67 float ek2;
BasB 0:335646ab45c0 68 float ei1= 0.0; //Error integral motor 1
BasB 0:335646ab45c0 69 float ei2=0.0; //Error integral motor 2
BasB 0:335646ab45c0 70 float Ka= 1.0; //Integral windup gain
BasB 0:335646ab45c0 71
BasB 0:335646ab45c0 72 //RKI
BasB 0:335646ab45c0 73 float Vx=0.0; //Desired linear velocity x direction
BasB 0:335646ab45c0 74 float Vy=0.0; //Desired linear velocity y direction
BasB 0:335646ab45c0 75 float q1=0.0f*deg2rad; //Angle of first joint [rad]
BasB 0:335646ab45c0 76 float q2=-135.0f*deg2rad; //Angle of second joint [rad]
BasB 0:335646ab45c0 77 float q1dot; //Velocity of first joint [rad/s]
BasB 0:335646ab45c0 78 float q2dot; //Velocity of second joint [rad/s]
BasB 0:335646ab45c0 79 float l1=26.0; //Distance base-link [cm]
BasB 0:335646ab45c0 80 float l2=62.0; //Distance link-endpoint [cm]
BasB 0:335646ab45c0 81 float xe; //Endpoint x position [cm]
BasB 0:335646ab45c0 82 float ye; //Endpoint y position [cm]
BasB 0:335646ab45c0 83
BasB 0:335646ab45c0 84 //Hidscope
BasB 0:335646ab45c0 85 HIDScope scope(6); //Going to send x channels of data. To access data go to 'http:/localhost:18082/' after starting HIDScope application.
BasB 0:335646ab45c0 86
BasB 3:6e28b992b99e 87 //State maschine
BasB 3:6e28b992b99e 88 enum Motor_States{motor_wait , motor_cal1 , motor_cal2 , motor_encoderset};
BasB 3:6e28b992b99e 89 Motor_States motor_curr_state;
BasB 3:6e28b992b99e 90 bool motor_state_changed = true;
BasB 3:6e28b992b99e 91 bool motor_cal1_done = false;
BasB 3:6e28b992b99e 92 bool motor_cal2_done = false;
BasB 3:6e28b992b99e 93
BasB 3:6e28b992b99e 94 bool button1_pressed = false;
BasB 3:6e28b992b99e 95 bool button2_pressed = false;
BasB 3:6e28b992b99e 96
BasB 0:335646ab45c0 97 // PC connection
BasB 0:335646ab45c0 98 MODSERIAL pc(USBTX, USBRX);
BasB 0:335646ab45c0 99
BasB 0:335646ab45c0 100 // Intializing tickers
BasB 0:335646ab45c0 101 Ticker motorTicker;
BasB 0:335646ab45c0 102 Ticker controlTicker;
BasB 0:335646ab45c0 103 Ticker directionTicker;
BasB 0:335646ab45c0 104 Ticker encoderTicker;
BasB 0:335646ab45c0 105 Ticker scopeTicker;
BasB 3:6e28b992b99e 106 Ticker tickGlobal; //Global ticker
BasB 0:335646ab45c0 107
BasB 0:335646ab45c0 108 const float PWM_period = 1e-6;
BasB 0:335646ab45c0 109
BasB 0:335646ab45c0 110 volatile int counts1; // Encoder counts
BasB 0:335646ab45c0 111 volatile int counts2;
BasB 0:335646ab45c0 112 volatile int countsPrev1 = 0;
BasB 0:335646ab45c0 113 volatile int countsPrev2 = 0;
BasB 0:335646ab45c0 114 volatile int deltaCounts1;
BasB 0:335646ab45c0 115 volatile int deltaCounts2;
BasB 0:335646ab45c0 116
BasB 0:335646ab45c0 117 float factorin = 6.23185/64; // Convert encoder counts to angle in rad
BasB 0:335646ab45c0 118 float gearratio = 131.25; // Gear ratio of gearbox
BasB 0:335646ab45c0 119
BasB 3:6e28b992b99e 120 void button1Press()
BasB 3:6e28b992b99e 121 {
BasB 3:6e28b992b99e 122 button1_pressed = true;
BasB 3:6e28b992b99e 123 }
BasB 0:335646ab45c0 124
BasB 0:335646ab45c0 125
BasB 3:6e28b992b99e 126 // Ticker Functions
BasB 0:335646ab45c0 127 void readEncoder()
BasB 0:335646ab45c0 128 {
BasB 0:335646ab45c0 129 counts1 = encoder1.getPulses();
BasB 0:335646ab45c0 130 deltaCounts1 = counts1 - countsPrev1;
BasB 0:335646ab45c0 131 countsPrev1 = counts1;
BasB 0:335646ab45c0 132
BasB 0:335646ab45c0 133 counts2 = encoder2.getPulses();
BasB 0:335646ab45c0 134 deltaCounts2 = counts2 - countsPrev2;
BasB 0:335646ab45c0 135 countsPrev2 = counts2;
BasB 0:335646ab45c0 136 }
BasB 0:335646ab45c0 137
BasB 3:6e28b992b99e 138 void do_motorCalibration1() {
BasB 3:6e28b992b99e 139 // Entry function
BasB 3:6e28b992b99e 140 if ( motor_state_changed == true ) {
BasB 3:6e28b992b99e 141 motor_state_changed = false;
BasB 3:6e28b992b99e 142 // More functions
BasB 3:6e28b992b99e 143 }
BasB 3:6e28b992b99e 144
BasB 3:6e28b992b99e 145 // Do stuff until end condition is met
BasB 1:a76fd17e18b3 146 motor1angle = (counts1 * factorin / gearratio); // Angle of motor shaft in rad
BasB 0:335646ab45c0 147 omega1 = deltaCounts1 / Ts * factorin / gearratio; // Angular velocity of motor shaft in rad/s
BasB 1:a76fd17e18b3 148 float potmeter=potmeter1.read();
BasB 2:7ea5ae2287a7 149 controlsignal1=0.0980f;
BasB 0:335646ab45c0 150 motor1Power.write(abs(controlsignal1*motortoggle));
BasB 2:7ea5ae2287a7 151 motor1Direction=0;
BasB 3:6e28b992b99e 152
BasB 3:6e28b992b99e 153 // State transition guard
BasB 3:6e28b992b99e 154 if ( omega1 <= 0.5f ) {
BasB 3:6e28b992b99e 155 motor_curr_state = motor_cal2;
BasB 3:6e28b992b99e 156 motor_state_changed = true;
BasB 3:6e28b992b99e 157 // More functions
BasB 3:6e28b992b99e 158 }
BasB 3:6e28b992b99e 159 }
BasB 3:6e28b992b99e 160
BasB 3:6e28b992b99e 161 void do_motorCalibration2(){
BasB 3:6e28b992b99e 162 // Entry function
BasB 3:6e28b992b99e 163 if ( motor_state_changed == true ) {
BasB 3:6e28b992b99e 164 motor_state_changed = false;
BasB 3:6e28b992b99e 165 // More functions
BasB 1:a76fd17e18b3 166 }
BasB 1:a76fd17e18b3 167
BasB 3:6e28b992b99e 168 // Do stuff until end condition is met
BasB 2:7ea5ae2287a7 169 potmeter=potmeter1.read();
BasB 1:a76fd17e18b3 170 motor1angle = (counts1 * factorin / gearratio); // Angle of motor shaft in rad
BasB 1:a76fd17e18b3 171 omega1 = deltaCounts1 / Ts * factorin / gearratio; // Angular velocity of motor shaft in rad/s
BasB 1:a76fd17e18b3 172 controlsignal1=potmeter;
BasB 1:a76fd17e18b3 173 motor1Power.write(abs(controlsignal1*motortoggle));
BasB 2:7ea5ae2287a7 174 motor1Direction=0;
BasB 0:335646ab45c0 175
BasB 0:335646ab45c0 176 motor2angle = (counts2 * factorin / gearratio); // Angle of motor shaft in rad
BasB 1:a76fd17e18b3 177 omega2 = deltaCounts2 / Ts * factorin / gearratio; // Angular velocity of motor shaft in rad/s
BasB 1:a76fd17e18b3 178 controlsignal2=potmeter;
BasB 0:335646ab45c0 179 motor2Power.write(abs(controlsignal2*motortoggle));
BasB 1:a76fd17e18b3 180 motor2Direction=1;
BasB 1:a76fd17e18b3 181 //Dit moet je doen zolang omega van motor 2 > 0.iets
BasB 3:6e28b992b99e 182
BasB 3:6e28b992b99e 183 // State transition guard
BasB 3:6e28b992b99e 184 if ( omega2 <= 0.5f ) {
BasB 3:6e28b992b99e 185 motor_curr_state = motor_encoderset;
BasB 3:6e28b992b99e 186 motor_state_changed = true;
BasB 3:6e28b992b99e 187 // More functions
BasB 3:6e28b992b99e 188 }
BasB 0:335646ab45c0 189 }
BasB 0:335646ab45c0 190
BasB 3:6e28b992b99e 191 void do_motor_Encoder_Set(){
BasB 3:6e28b992b99e 192 // Entry function
BasB 3:6e28b992b99e 193 if ( motor_state_changed == true ) {
BasB 3:6e28b992b99e 194 motor_state_changed = false;
BasB 3:6e28b992b99e 195 // More functions
BasB 3:6e28b992b99e 196 }
BasB 3:6e28b992b99e 197
BasB 3:6e28b992b99e 198 // Do stuff until end condition is met
BasB 3:6e28b992b99e 199
BasB 3:6e28b992b99e 200
BasB 3:6e28b992b99e 201 // State transition guard
BasB 3:6e28b992b99e 202 if ( omega2 <= 0.5f ) {
BasB 3:6e28b992b99e 203 motor_curr_state = motor_encoderset;
BasB 3:6e28b992b99e 204 motor_state_changed = true;
BasB 3:6e28b992b99e 205 // More functions
BasB 3:6e28b992b99e 206 }
BasB 3:6e28b992b99e 207 }
BasB 3:6e28b992b99e 208
BasB 3:6e28b992b99e 209 void do_motor_wait(){
BasB 3:6e28b992b99e 210 // Entry function
BasB 3:6e28b992b99e 211 if ( motor_state_changed == true ) {
BasB 3:6e28b992b99e 212 motor_state_changed = false;
BasB 3:6e28b992b99e 213 // More functions
BasB 3:6e28b992b99e 214 }
BasB 3:6e28b992b99e 215
BasB 3:6e28b992b99e 216 // Do nothing until end condition is met
BasB 3:6e28b992b99e 217
BasB 3:6e28b992b99e 218 // State transition guard
BasB 3:6e28b992b99e 219 if ( button1_pressed ) {
BasB 3:6e28b992b99e 220 button1_pressed = false;
BasB 3:6e28b992b99e 221 motor_curr_state = motor_cal1; //Beginnen met calibratie
BasB 3:6e28b992b99e 222 motor_state_changed = true;
BasB 3:6e28b992b99e 223 // More functions
BasB 3:6e28b992b99e 224 }
BasB 3:6e28b992b99e 225 }
BasB 3:6e28b992b99e 226
BasB 0:335646ab45c0 227 void toggleMotor()
BasB 0:335646ab45c0 228 {
BasB 0:335646ab45c0 229 motortoggle = !motortoggle;
BasB 0:335646ab45c0 230 }
BasB 0:335646ab45c0 231
BasB 3:6e28b992b99e 232 void motor_state_machine()
BasB 3:6e28b992b99e 233 {
BasB 3:6e28b992b99e 234 switch(motor_curr_state) {
BasB 3:6e28b992b99e 235 case motor_wait:
BasB 3:6e28b992b99e 236 do_motor_wait();
BasB 3:6e28b992b99e 237 break;
BasB 3:6e28b992b99e 238 case motor_cal1:
BasB 3:6e28b992b99e 239 do_motorCalibration1();
BasB 3:6e28b992b99e 240 break;
BasB 3:6e28b992b99e 241 case motor_cal2:
BasB 3:6e28b992b99e 242 do_motorCalibration2();
BasB 3:6e28b992b99e 243 break;
BasB 3:6e28b992b99e 244 case motor_encoderset:
BasB 3:6e28b992b99e 245 do_motor_Encoder_Set();
BasB 3:6e28b992b99e 246 break;
BasB 3:6e28b992b99e 247 }
BasB 3:6e28b992b99e 248 }
BasB 3:6e28b992b99e 249
BasB 3:6e28b992b99e 250 // Global loop of program
BasB 3:6e28b992b99e 251 void tickGlobalFunc()
BasB 3:6e28b992b99e 252 {
BasB 3:6e28b992b99e 253 //sampleSignal();
BasB 3:6e28b992b99e 254 //emg_state_machine();
BasB 3:6e28b992b99e 255 motor_state_machine();
BasB 3:6e28b992b99e 256 // controller();
BasB 3:6e28b992b99e 257 // outputToMotors();
BasB 3:6e28b992b99e 258 }
BasB 3:6e28b992b99e 259
BasB 3:6e28b992b99e 260
BasB 0:335646ab45c0 261 int main()
BasB 0:335646ab45c0 262 {
BasB 0:335646ab45c0 263 pc.baud(115200);
BasB 0:335646ab45c0 264 pc.printf("\r\nStarting...\r\n\r\n");
BasB 0:335646ab45c0 265 motor1Power.period(PWM_period);
BasB 0:335646ab45c0 266 motor2Power.period(PWM_period);
BasB 2:7ea5ae2287a7 267
BasB 3:6e28b992b99e 268 motor_curr_state = motor_wait; // Start off in EMG Wait state
BasB 3:6e28b992b99e 269 tickGlobal.attach( &tickGlobalFunc, Ts );
BasB 0:335646ab45c0 270
BasB 0:335646ab45c0 271 button2.fall(&toggleMotor);
BasB 0:335646ab45c0 272
BasB 0:335646ab45c0 273 while (true) {
BasB 2:7ea5ae2287a7 274 potmeter=potmeter1.read();
BasB 2:7ea5ae2287a7 275 pc.printf("Omega1: %f Omega 2: %f controlsignal1: %f \r\n", omega1, omega2, controlsignal1);
BasB 0:335646ab45c0 276 wait(0.5);
BasB 0:335646ab45c0 277 }
BasB 0:335646ab45c0 278 }