semihost server example program

Dependencies:   SWD mbed USBLocalFileSystem BaseDAP USBDAP

/media/uploads/va009039/kl46z-lpc800-360x480.jpg

LPCXpresso
LPC11U68
LPCXpresso
LPC1549
FRDM-KL46ZEA LPC4088 QSB
app-board
LPC1768
app-board
LPC810LPC1114FN28
serverserverserverserverserverclientclient
SWDIOD12D12D12p25p21p4(P0_2)p12
SWCLKD10D10D10p26p22p3(P0_3)p3
nRESET
*option
D6D6D6p34p30p1(P0_5)p23
GNDGNDGNDGNDp1p1p7p22
3.3VP3V3P3V3P3V3p44p40p6p21
flash writeSW2(P0_1)SW3(P1_9)SW1p14
joystick
center
p14
joystick
center

client example:

Import programlpc810-semihost_helloworld

semihost client example program

Committer:
va009039
Date:
Thu Feb 20 09:43:03 2014 +0000
Revision:
9:7e71c20c96e4
Parent:
8:d7f5d80531e4
Child:
14:e6acf863207e
update ramdisk

Who changed what in which revision?

UserRevisionLine numberNew contents of line
va009039 8:d7f5d80531e4 1 // Flash.cpp 2014/2/18
va009039 0:27d35fa263b5 2 #include "Flash.h"
va009039 0:27d35fa263b5 3 #include "Target2.h"
va009039 0:27d35fa263b5 4 #include "mydebug.h"
va009039 0:27d35fa263b5 5
va009039 8:d7f5d80531e4 6 // LPC1347,LPC1114,LPC812,LPC810
va009039 8:d7f5d80531e4 7 #define LPC_SYSCON_SYSMEMREMAP 0x40048000
va009039 8:d7f5d80531e4 8 #define LPC_SYSCON_MAINCLKSEL 0x40048070
va009039 8:d7f5d80531e4 9 #define LPC_SYSCON_MAINCLKUEN 0x40048074
va009039 8:d7f5d80531e4 10 #define LPC_SYSCON_SYSAHBCLKDIV 0x40048078
va009039 8:d7f5d80531e4 11
va009039 8:d7f5d80531e4 12 // LPC1768,LPC1769
va009039 8:d7f5d80531e4 13 #define LPC_SC_MEMMAP 0x400fc040
va009039 8:d7f5d80531e4 14 #define LPC_SC_PLL0CON 0x400fc080
va009039 8:d7f5d80531e4 15 #define LPC_SC_PLL0CFG 0x400fc084
va009039 8:d7f5d80531e4 16 #define LPC_SC_PLL0STAT 0x400fc088
va009039 8:d7f5d80531e4 17 #define LPC_SC_PLL0FEED 0x400fc08c
va009039 3:d7a7cde0bfb8 18
va009039 0:27d35fa263b5 19 Flash::Flash(Target2* target, Serial* usbpc) :_target(target),_pc(usbpc)
va009039 0:27d35fa263b5 20 {
va009039 0:27d35fa263b5 21 _setup();
va009039 0:27d35fa263b5 22 }
va009039 0:27d35fa263b5 23
va009039 0:27d35fa263b5 24 void Flash::_setup()
va009039 0:27d35fa263b5 25 {
va009039 0:27d35fa263b5 26 _target->halt();
va009039 0:27d35fa263b5 27 _target->wait_status(TARGET_HALTED);
va009039 4:5e4107edcbdb 28 _target->prog_status();
va009039 0:27d35fa263b5 29 }
va009039 0:27d35fa263b5 30
va009039 3:d7a7cde0bfb8 31 bool Flash::init()
va009039 3:d7a7cde0bfb8 32 {
va009039 8:d7f5d80531e4 33 _pc->printf("Initializing");
va009039 8:d7f5d80531e4 34 if (remoteIAP(READ_PART_ID) != CMD_SUCCESS) {
va009039 8:d7f5d80531e4 35 _pc->printf("\nfaild. READ_PART_ID\n");
va009039 3:d7a7cde0bfb8 36 return false;
va009039 8:d7f5d80531e4 37 }
va009039 8:d7f5d80531e4 38 bool result = false;
va009039 8:d7f5d80531e4 39 _pc->printf("(%08x).", part_id);
va009039 8:d7f5d80531e4 40 switch(part_id) {
va009039 8:d7f5d80531e4 41 case 0x08020543: // LPC1347
va009039 8:d7f5d80531e4 42 result = flash_init_lpc1347();
va009039 8:d7f5d80531e4 43 break;
va009039 8:d7f5d80531e4 44 case 0x26113f37: // LPC1768,LPC1769
va009039 8:d7f5d80531e4 45 result = flash_init_lpc1769();
va009039 8:d7f5d80531e4 46 break;
va009039 8:d7f5d80531e4 47 default:
va009039 8:d7f5d80531e4 48 if (part_id >= 0x00008100 && part_id <= 0x000081ff) { // LOC810
va009039 8:d7f5d80531e4 49 result = init_lpc1114fn28_lpc810(1024);
va009039 8:d7f5d80531e4 50 } else { // part_id = 0x0a40902b or 0x1a40902b LPC1114FN28
va009039 8:d7f5d80531e4 51 result = init_lpc1114fn28_lpc810(4096);
va009039 8:d7f5d80531e4 52 }
va009039 8:d7f5d80531e4 53 break;
va009039 8:d7f5d80531e4 54 }
va009039 8:d7f5d80531e4 55 if (result) {
va009039 8:d7f5d80531e4 56 _pc->printf("passed.\n");
va009039 8:d7f5d80531e4 57 }
va009039 8:d7f5d80531e4 58 return result;
va009039 8:d7f5d80531e4 59 }
va009039 8:d7f5d80531e4 60
va009039 8:d7f5d80531e4 61 bool Flash::remoteREG(uint32_t addr, uint32_t value)
va009039 8:d7f5d80531e4 62 {
va009039 8:d7f5d80531e4 63 _target->writeMemory(addr, value);
va009039 3:d7a7cde0bfb8 64 return true;
va009039 3:d7a7cde0bfb8 65 }
va009039 3:d7a7cde0bfb8 66
va009039 8:d7f5d80531e4 67 bool Flash::init_lpc1114fn28_lpc810(int sector_size)
va009039 8:d7f5d80531e4 68 {
va009039 8:d7f5d80531e4 69 cfg.iap_cclk = 12000;
va009039 8:d7f5d80531e4 70 cfg.sector_size = sector_size;
va009039 8:d7f5d80531e4 71 remoteREG(LPC_SYSCON_MAINCLKSEL, 0); // Select Internal RC Oscillator
va009039 8:d7f5d80531e4 72 remoteREG(LPC_SYSCON_MAINCLKUEN, 1); // Update Main Clock Source
va009039 8:d7f5d80531e4 73 remoteREG(LPC_SYSCON_MAINCLKUEN, 0); // Toggle Update Register
va009039 8:d7f5d80531e4 74 remoteREG(LPC_SYSCON_MAINCLKUEN, 1);
va009039 8:d7f5d80531e4 75 uint32_t data = _target->readMemory(LPC_SYSCON_MAINCLKUEN); // Wait until updated
va009039 8:d7f5d80531e4 76 if (!(data & 1)) {
va009039 8:d7f5d80531e4 77 _pc->printf("\nerror MAINCLKUEN=%08x\n", data);
va009039 8:d7f5d80531e4 78 return false;
va009039 8:d7f5d80531e4 79 }
va009039 8:d7f5d80531e4 80 remoteREG(LPC_SYSCON_SYSAHBCLKDIV, 1);// Set Main Clock divider to 1
va009039 8:d7f5d80531e4 81 remoteREG(LPC_SYSCON_SYSMEMREMAP, 2); // User Flash Mode
va009039 8:d7f5d80531e4 82 return true;
va009039 8:d7f5d80531e4 83 }
va009039 8:d7f5d80531e4 84
va009039 8:d7f5d80531e4 85 bool Flash::flash_init_lpc1347() {
va009039 8:d7f5d80531e4 86 cfg.iap_cclk = 12000;
va009039 8:d7f5d80531e4 87 cfg.sector_size = 4096;
va009039 8:d7f5d80531e4 88 remoteREG(LPC_SYSCON_MAINCLKSEL, 0); // Select Internal RC Oscillator
va009039 8:d7f5d80531e4 89 remoteREG(LPC_SYSCON_SYSAHBCLKDIV, 1);// Set Main Clock divider to 1
va009039 8:d7f5d80531e4 90 if (!remoteREG(LPC_SYSCON_SYSMEMREMAP, 2)) { // User Flash Mode
va009039 8:d7f5d80531e4 91 return false;
va009039 8:d7f5d80531e4 92 }
va009039 8:d7f5d80531e4 93 return true;
va009039 8:d7f5d80531e4 94 }
va009039 8:d7f5d80531e4 95
va009039 8:d7f5d80531e4 96 bool Flash::flash_init_lpc1769() {
va009039 8:d7f5d80531e4 97 cfg.iap_cclk = 4000;
va009039 8:d7f5d80531e4 98 cfg.sector_size = 4096;
va009039 8:d7f5d80531e4 99 remoteREG(LPC_SC_PLL0CON, 0x00); // Select Internal RC Oscillator
va009039 8:d7f5d80531e4 100 remoteREG(LPC_SC_PLL0FEED, 0xaa);
va009039 8:d7f5d80531e4 101 remoteREG(LPC_SC_PLL0FEED, 0x55);
va009039 8:d7f5d80531e4 102 if (!remoteREG(LPC_SC_MEMMAP, 0x01)) { // User Flash Mode
va009039 8:d7f5d80531e4 103 return false;
va009039 8:d7f5d80531e4 104 }
va009039 8:d7f5d80531e4 105 return true;
va009039 8:d7f5d80531e4 106 }
va009039 8:d7f5d80531e4 107
va009039 0:27d35fa263b5 108 bool Flash::write(const char* filename)
va009039 0:27d35fa263b5 109 {
va009039 8:d7f5d80531e4 110 const int chunk_size = 256;
va009039 0:27d35fa263b5 111 FILE* fp = fopen(filename, "rb");
va009039 0:27d35fa263b5 112 if (fp == NULL) {
va009039 0:27d35fa263b5 113 _pc->printf("file open error [%s]\n", filename);
va009039 0:27d35fa263b5 114 return false;
va009039 0:27d35fa263b5 115 }
va009039 0:27d35fa263b5 116 _pc->printf("Writing.");
va009039 8:d7f5d80531e4 117 uint8_t buf[chunk_size];
va009039 0:27d35fa263b5 118 bool passed = false;
va009039 8:d7f5d80531e4 119 for(int addr = 0; addr < 0x10000; addr += sizeof(buf)) {
va009039 3:d7a7cde0bfb8 120 int ret = fread(buf, 1, sizeof(buf), fp);
va009039 0:27d35fa263b5 121 if (!_patch(buf, sizeof(buf), addr)) {
va009039 0:27d35fa263b5 122 break;
va009039 0:27d35fa263b5 123 }
va009039 6:5da6ad51a18f 124 if (!write(addr, buf, sizeof(buf))) {
va009039 4:5e4107edcbdb 125 break;
va009039 0:27d35fa263b5 126 }
va009039 0:27d35fa263b5 127 _pc->printf(".");
va009039 3:d7a7cde0bfb8 128 if (ret < sizeof(buf)) {
va009039 3:d7a7cde0bfb8 129 passed = true;
va009039 3:d7a7cde0bfb8 130 break;
va009039 3:d7a7cde0bfb8 131 }
va009039 0:27d35fa263b5 132 }
va009039 0:27d35fa263b5 133 fclose(fp);
va009039 0:27d35fa263b5 134 if (passed) {
va009039 0:27d35fa263b5 135 _pc->printf("passed.\n");
va009039 0:27d35fa263b5 136 }
va009039 0:27d35fa263b5 137 return passed;
va009039 0:27d35fa263b5 138 }
va009039 0:27d35fa263b5 139
va009039 8:d7f5d80531e4 140 int Flash::addr_to_sector(int addr) {
va009039 8:d7f5d80531e4 141 if (addr < 0x10000) {
va009039 8:d7f5d80531e4 142 return addr / cfg.sector_size;
va009039 8:d7f5d80531e4 143 }
va009039 8:d7f5d80531e4 144 return addr / 0x8000 + 0x10000/cfg.sector_size - 2;
va009039 8:d7f5d80531e4 145 }
va009039 8:d7f5d80531e4 146
va009039 8:d7f5d80531e4 147 bool Flash::sector_head(int addr) {
va009039 8:d7f5d80531e4 148 if (addr < 0x10000) {
va009039 8:d7f5d80531e4 149 return (addr%cfg.sector_size) == 0;
va009039 8:d7f5d80531e4 150 }
va009039 8:d7f5d80531e4 151 return (addr%0x8000) == 0;
va009039 8:d7f5d80531e4 152 }
va009039 8:d7f5d80531e4 153
va009039 6:5da6ad51a18f 154 bool Flash::write(int addr, const uint8_t* data, int size)
va009039 6:5da6ad51a18f 155 {
va009039 6:5da6ad51a18f 156 const int ram = 0x10000200;
va009039 6:5da6ad51a18f 157 const int ram_size = 256;
va009039 6:5da6ad51a18f 158 for(int i = 0; i < size; i += ram_size) {
va009039 9:7e71c20c96e4 159 update();
va009039 6:5da6ad51a18f 160 if (!_write_to_ram(ram, ram_size, data+i)) {
va009039 6:5da6ad51a18f 161 _pc->printf("faild. write to ram %08x\n", ram);
va009039 6:5da6ad51a18f 162 return false;
va009039 6:5da6ad51a18f 163 }
va009039 8:d7f5d80531e4 164 int sector = addr_to_sector(addr + i);
va009039 8:d7f5d80531e4 165 if (sector_head(addr + i)) {
va009039 8:d7f5d80531e4 166 if (remoteIAP(PREPARE_SECTOR, sector, sector) != CMD_SUCCESS) {
va009039 8:d7f5d80531e4 167 _pc->printf("faild. PREPARE_SECTOR %d %d\n", sector, sector);
va009039 8:d7f5d80531e4 168 return false;
va009039 8:d7f5d80531e4 169 }
va009039 8:d7f5d80531e4 170 if (remoteIAP(ERASE_SECTOR, sector, sector, cfg.iap_cclk) != CMD_SUCCESS) {
va009039 8:d7f5d80531e4 171 _pc->printf("faild. ERASE_SECTOR %d %d %d\n", sector, sector, cfg.iap_cclk);
va009039 8:d7f5d80531e4 172 return false;
va009039 8:d7f5d80531e4 173 }
va009039 8:d7f5d80531e4 174 if (remoteIAP(BLANK_CHECK, sector, sector, cfg.iap_cclk) != CMD_SUCCESS) {
va009039 8:d7f5d80531e4 175 _pc->printf("faild. BLANK_CHECK %d %d %d\n", sector, sector, cfg.iap_cclk);
va009039 8:d7f5d80531e4 176 return false;
va009039 8:d7f5d80531e4 177 }
va009039 8:d7f5d80531e4 178 }
va009039 8:d7f5d80531e4 179 if (remoteIAP(PREPARE_SECTOR, sector, sector) != CMD_SUCCESS) {
va009039 8:d7f5d80531e4 180 _pc->printf("faild. PREPARE_SECTOR %d %d\n", sector, sector);
va009039 6:5da6ad51a18f 181 return false;
va009039 6:5da6ad51a18f 182 }
va009039 8:d7f5d80531e4 183 if (remoteIAP(COPY_RAM_TO_FLASH, addr+i, ram, ram_size, cfg.iap_cclk) != CMD_SUCCESS) {
va009039 8:d7f5d80531e4 184 _pc->printf("faild. COPY_RAM_TO_FLASH %d %d %d %dn", addr+i, ram, ram_size, cfg.iap_cclk);
va009039 6:5da6ad51a18f 185 return false;
va009039 6:5da6ad51a18f 186 }
va009039 6:5da6ad51a18f 187 if (remoteIAP(COMPARE, addr+i, ram, ram_size) != CMD_SUCCESS) {
va009039 6:5da6ad51a18f 188 _pc->printf("faild. COMPARE %d %d %d", addr+i, ram, ram_size);
va009039 6:5da6ad51a18f 189 return false;
va009039 6:5da6ad51a18f 190 }
va009039 6:5da6ad51a18f 191 }
va009039 6:5da6ad51a18f 192 return true;
va009039 6:5da6ad51a18f 193 }
va009039 6:5da6ad51a18f 194
va009039 6:5da6ad51a18f 195 bool Flash::_write_to_ram(int addr, int size, const uint8_t* buf)
va009039 0:27d35fa263b5 196 {
va009039 0:27d35fa263b5 197 _target->writeMemory(addr, (uint32_t*)buf, size / sizeof(uint32_t));
va009039 0:27d35fa263b5 198 return true;
va009039 0:27d35fa263b5 199 }
va009039 0:27d35fa263b5 200
va009039 5:2774358f5e4f 201 static uint32_t LD32(uint8_t* buf)
va009039 5:2774358f5e4f 202 {
va009039 8:d7f5d80531e4 203 return (buf[0]) | (buf[1]<<8) | (buf[2]<<16) | (buf[3]<<24);
va009039 5:2774358f5e4f 204 }
va009039 5:2774358f5e4f 205
va009039 0:27d35fa263b5 206 bool Flash::_patch(uint8_t* buf, int size, int addr)
va009039 0:27d35fa263b5 207 {
va009039 0:27d35fa263b5 208 const int crp_start = 0x2fc; // Code Read Protection location
va009039 0:27d35fa263b5 209 if (crp_start >= addr && crp_start < addr+size) {
va009039 5:2774358f5e4f 210 uint32_t pat = LD32(crp_start-addr+buf);
va009039 0:27d35fa263b5 211 if (pat != 0xffffffff) { // NO_CRP ?
va009039 0:27d35fa263b5 212 _pc->printf("\nCAUTION: CRP Pattern is not NO_CRP; %08x\n", pat);
va009039 0:27d35fa263b5 213 return false;
va009039 0:27d35fa263b5 214 }
va009039 0:27d35fa263b5 215 }
va009039 0:27d35fa263b5 216 return true;
va009039 0:27d35fa263b5 217 }
va009039 0:27d35fa263b5 218
va009039 2:32e9437348ad 219 Flash::IAP_STATUS Flash::remoteIAP(Flash::IAP_CMD cmd, uint32_t p0, uint32_t p1, uint32_t p2, uint32_t p3)
va009039 0:27d35fa263b5 220 {
va009039 0:27d35fa263b5 221 struct {
va009039 0:27d35fa263b5 222 uint32_t bkpt; // +0
va009039 0:27d35fa263b5 223 struct { // IAP Structure
va009039 0:27d35fa263b5 224 uint32_t cmd; // +4 Command
va009039 0:27d35fa263b5 225 uint32_t par[4]; // +8 Parameters
va009039 0:27d35fa263b5 226 uint32_t stat; // +24 Status
va009039 0:27d35fa263b5 227 uint32_t res[4]; // +28 Result
va009039 0:27d35fa263b5 228 } IAP; // +44
va009039 0:27d35fa263b5 229 } ram;
va009039 0:27d35fa263b5 230
va009039 2:32e9437348ad 231 ram.bkpt = 0xe00abe00; // bpkt #00
va009039 0:27d35fa263b5 232 ram.IAP.cmd = cmd;
va009039 0:27d35fa263b5 233 ram.IAP.par[0] = p0;
va009039 0:27d35fa263b5 234 ram.IAP.par[1] = p1;
va009039 0:27d35fa263b5 235 ram.IAP.par[2] = p2;
va009039 0:27d35fa263b5 236 ram.IAP.par[3] = p3;
va009039 0:27d35fa263b5 237 _target->halt();
va009039 0:27d35fa263b5 238 _target->wait_status(TARGET_HALTED);
va009039 0:27d35fa263b5 239 const uint32_t ram_addr = 0x10000100;
va009039 0:27d35fa263b5 240 _target->writeMemory(ram_addr, (uint32_t*)&ram, sizeof(ram)/sizeof(uint32_t));
va009039 2:32e9437348ad 241 _target->r0 = ram_addr + 4; // command addr
va009039 2:32e9437348ad 242 _target->r1 = ram_addr + 24; // status addr
va009039 2:32e9437348ad 243 _target->sp = 0x10000400-32; // IAP use ram top 32bytes
va009039 0:27d35fa263b5 244 _target->lr = ram_addr + 1; // return to bkpt
va009039 0:27d35fa263b5 245 _target->pc = 0x1fff1ff1; // IAP_Call
va009039 0:27d35fa263b5 246 _target->resume();
va009039 0:27d35fa263b5 247 _target->wait_status(TARGET_HALTED);
va009039 8:d7f5d80531e4 248 if (cmd == READ_PART_ID) {
va009039 8:d7f5d80531e4 249 part_id = _target->readMemory(ram_addr + 28);
va009039 8:d7f5d80531e4 250 }
va009039 2:32e9437348ad 251 return (IAP_STATUS)_target->readMemory(ram_addr + 24);
va009039 0:27d35fa263b5 252 }
va009039 0:27d35fa263b5 253
va009039 0:27d35fa263b5 254 bool Flash::verify(const char* filename)
va009039 0:27d35fa263b5 255 {
va009039 0:27d35fa263b5 256 FILE* fp = fopen(filename, "rb");
va009039 0:27d35fa263b5 257 if (fp == NULL) {
va009039 0:27d35fa263b5 258 _pc->printf("file open error [%s]\n", filename);
va009039 0:27d35fa263b5 259 return false;
va009039 0:27d35fa263b5 260 }
va009039 0:27d35fa263b5 261 _pc->printf("Verifying.");
va009039 0:27d35fa263b5 262 uint8_t buf[256];
va009039 0:27d35fa263b5 263 bool passed = false;
va009039 8:d7f5d80531e4 264 for(int addr = 0; addr < 0x10000; addr++) {
va009039 0:27d35fa263b5 265 int c = fgetc(fp);
va009039 0:27d35fa263b5 266 if (c == EOF) {
va009039 0:27d35fa263b5 267 passed = true;
va009039 0:27d35fa263b5 268 break;
va009039 0:27d35fa263b5 269 }
va009039 0:27d35fa263b5 270 if ((addr % sizeof(buf)) == 0) {
va009039 0:27d35fa263b5 271 _target->readMemory(addr, (uint32_t*)buf, sizeof(buf)/sizeof(uint32_t));
va009039 0:27d35fa263b5 272 _pc->printf(".");
va009039 0:27d35fa263b5 273 }
va009039 0:27d35fa263b5 274 if (c != buf[addr % sizeof(buf)]) {
va009039 0:27d35fa263b5 275 _pc->printf("\nError at %08x(%02x:%02x)\n", addr, c, buf[addr % sizeof(buf)]);
va009039 4:5e4107edcbdb 276 break;
va009039 0:27d35fa263b5 277 }
va009039 0:27d35fa263b5 278 }
va009039 0:27d35fa263b5 279 fclose(fp);
va009039 0:27d35fa263b5 280 if (passed) {
va009039 0:27d35fa263b5 281 _pc->printf("passed.\n");
va009039 0:27d35fa263b5 282 }
va009039 0:27d35fa263b5 283 return passed;
va009039 0:27d35fa263b5 284 }