mbed library sources. Supersedes mbed-src.

Dependents:   Nucleo_Hello_Encoder BLE_iBeaconScan AM1805_DEMO DISCO-F429ZI_ExportTemplate1 ... more

Committer:
AnnaBridge
Date:
Wed Feb 20 22:31:08 2019 +0000
Revision:
189:f392fc9709a3
Parent:
187:0387e8f68319
mbed library release version 165

Who changed what in which revision?

UserRevisionLine numberNew contents of line
<> 144:ef7eb2e8f9f7 1 /**
<> 144:ef7eb2e8f9f7 2 ******************************************************************************
<> 144:ef7eb2e8f9f7 3 * @file stm32f1xx_hal_flash_ex.c
<> 144:ef7eb2e8f9f7 4 * @author MCD Application Team
<> 144:ef7eb2e8f9f7 5 * @brief Extended FLASH HAL module driver.
<> 144:ef7eb2e8f9f7 6 *
<> 144:ef7eb2e8f9f7 7 * This file provides firmware functions to manage the following
<> 144:ef7eb2e8f9f7 8 * functionalities of the FLASH peripheral:
<> 144:ef7eb2e8f9f7 9 * + Extended Initialization/de-initialization functions
<> 144:ef7eb2e8f9f7 10 * + Extended I/O operation functions
<> 144:ef7eb2e8f9f7 11 * + Extended Peripheral Control functions
<> 144:ef7eb2e8f9f7 12 *
<> 144:ef7eb2e8f9f7 13 @verbatim
<> 144:ef7eb2e8f9f7 14 ==============================================================================
<> 144:ef7eb2e8f9f7 15 ##### Flash peripheral extended features #####
<> 144:ef7eb2e8f9f7 16 ==============================================================================
<> 144:ef7eb2e8f9f7 17
<> 144:ef7eb2e8f9f7 18 ##### How to use this driver #####
<> 144:ef7eb2e8f9f7 19 ==============================================================================
<> 144:ef7eb2e8f9f7 20 [..] This driver provides functions to configure and program the FLASH memory
<> 144:ef7eb2e8f9f7 21 of all STM32F1xxx devices. It includes
<> 144:ef7eb2e8f9f7 22
<> 144:ef7eb2e8f9f7 23 (++) Set/Reset the write protection
<> 144:ef7eb2e8f9f7 24 (++) Program the user Option Bytes
<> 144:ef7eb2e8f9f7 25 (++) Get the Read protection Level
<> 144:ef7eb2e8f9f7 26
<> 144:ef7eb2e8f9f7 27 @endverbatim
<> 144:ef7eb2e8f9f7 28 ******************************************************************************
<> 144:ef7eb2e8f9f7 29 * @attention
<> 144:ef7eb2e8f9f7 30 *
<> 144:ef7eb2e8f9f7 31 * <h2><center>&copy; COPYRIGHT(c) 2016 STMicroelectronics</center></h2>
<> 144:ef7eb2e8f9f7 32 *
<> 144:ef7eb2e8f9f7 33 * Redistribution and use in source and binary forms, with or without modification,
<> 144:ef7eb2e8f9f7 34 * are permitted provided that the following conditions are met:
<> 144:ef7eb2e8f9f7 35 * 1. Redistributions of source code must retain the above copyright notice,
<> 144:ef7eb2e8f9f7 36 * this list of conditions and the following disclaimer.
<> 144:ef7eb2e8f9f7 37 * 2. Redistributions in binary form must reproduce the above copyright notice,
<> 144:ef7eb2e8f9f7 38 * this list of conditions and the following disclaimer in the documentation
<> 144:ef7eb2e8f9f7 39 * and/or other materials provided with the distribution.
<> 144:ef7eb2e8f9f7 40 * 3. Neither the name of STMicroelectronics nor the names of its contributors
<> 144:ef7eb2e8f9f7 41 * may be used to endorse or promote products derived from this software
<> 144:ef7eb2e8f9f7 42 * without specific prior written permission.
<> 144:ef7eb2e8f9f7 43 *
<> 144:ef7eb2e8f9f7 44 * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
<> 144:ef7eb2e8f9f7 45 * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
<> 144:ef7eb2e8f9f7 46 * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
<> 144:ef7eb2e8f9f7 47 * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
<> 144:ef7eb2e8f9f7 48 * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
<> 144:ef7eb2e8f9f7 49 * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
<> 144:ef7eb2e8f9f7 50 * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
<> 144:ef7eb2e8f9f7 51 * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
<> 144:ef7eb2e8f9f7 52 * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
<> 144:ef7eb2e8f9f7 53 * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
<> 144:ef7eb2e8f9f7 54 *
<> 144:ef7eb2e8f9f7 55 ******************************************************************************
<> 144:ef7eb2e8f9f7 56 */
<> 144:ef7eb2e8f9f7 57
<> 144:ef7eb2e8f9f7 58 /* Includes ------------------------------------------------------------------*/
<> 144:ef7eb2e8f9f7 59 #include "stm32f1xx_hal.h"
<> 144:ef7eb2e8f9f7 60
<> 144:ef7eb2e8f9f7 61 /** @addtogroup STM32F1xx_HAL_Driver
<> 144:ef7eb2e8f9f7 62 * @{
<> 144:ef7eb2e8f9f7 63 */
<> 144:ef7eb2e8f9f7 64 #ifdef HAL_FLASH_MODULE_ENABLED
<> 144:ef7eb2e8f9f7 65
<> 144:ef7eb2e8f9f7 66 /** @addtogroup FLASH
<> 144:ef7eb2e8f9f7 67 * @{
<> 144:ef7eb2e8f9f7 68 */
<> 144:ef7eb2e8f9f7 69 /** @addtogroup FLASH_Private_Variables
<> 144:ef7eb2e8f9f7 70 * @{
<> 144:ef7eb2e8f9f7 71 */
<> 144:ef7eb2e8f9f7 72 /* Variables used for Erase pages under interruption*/
<> 144:ef7eb2e8f9f7 73 extern FLASH_ProcessTypeDef pFlash;
<> 144:ef7eb2e8f9f7 74 /**
<> 144:ef7eb2e8f9f7 75 * @}
<> 144:ef7eb2e8f9f7 76 */
<> 144:ef7eb2e8f9f7 77
<> 144:ef7eb2e8f9f7 78 /**
<> 144:ef7eb2e8f9f7 79 * @}
<> 144:ef7eb2e8f9f7 80 */
<> 144:ef7eb2e8f9f7 81
<> 144:ef7eb2e8f9f7 82 /** @defgroup FLASHEx FLASHEx
<> 144:ef7eb2e8f9f7 83 * @brief FLASH HAL Extension module driver
<> 144:ef7eb2e8f9f7 84 * @{
<> 144:ef7eb2e8f9f7 85 */
<> 144:ef7eb2e8f9f7 86
<> 144:ef7eb2e8f9f7 87 /* Private typedef -----------------------------------------------------------*/
<> 144:ef7eb2e8f9f7 88 /* Private define ------------------------------------------------------------*/
<> 144:ef7eb2e8f9f7 89 /** @defgroup FLASHEx_Private_Constants FLASHEx Private Constants
<> 144:ef7eb2e8f9f7 90 * @{
<> 144:ef7eb2e8f9f7 91 */
AnnaBridge 165:e614a9f1c9e2 92 #define FLASH_POSITION_IWDGSW_BIT FLASH_OBR_IWDG_SW_Pos
AnnaBridge 165:e614a9f1c9e2 93 #define FLASH_POSITION_OB_USERDATA0_BIT FLASH_OBR_DATA0_Pos
AnnaBridge 165:e614a9f1c9e2 94 #define FLASH_POSITION_OB_USERDATA1_BIT FLASH_OBR_DATA1_Pos
<> 144:ef7eb2e8f9f7 95 /**
<> 144:ef7eb2e8f9f7 96 * @}
<> 144:ef7eb2e8f9f7 97 */
<> 144:ef7eb2e8f9f7 98
<> 144:ef7eb2e8f9f7 99 /* Private macro -------------------------------------------------------------*/
<> 144:ef7eb2e8f9f7 100 /** @defgroup FLASHEx_Private_Macros FLASHEx Private Macros
<> 144:ef7eb2e8f9f7 101 * @{
<> 144:ef7eb2e8f9f7 102 */
<> 144:ef7eb2e8f9f7 103 /**
<> 144:ef7eb2e8f9f7 104 * @}
<> 144:ef7eb2e8f9f7 105 */
<> 144:ef7eb2e8f9f7 106
<> 144:ef7eb2e8f9f7 107 /* Private variables ---------------------------------------------------------*/
<> 144:ef7eb2e8f9f7 108 /* Private function prototypes -----------------------------------------------*/
<> 144:ef7eb2e8f9f7 109 /** @defgroup FLASHEx_Private_Functions FLASHEx Private Functions
<> 144:ef7eb2e8f9f7 110 * @{
<> 144:ef7eb2e8f9f7 111 */
<> 144:ef7eb2e8f9f7 112 /* Erase operations */
<> 144:ef7eb2e8f9f7 113 static void FLASH_MassErase(uint32_t Banks);
AnnaBridge 165:e614a9f1c9e2 114 void FLASH_PageErase(uint32_t PageAddress);
<> 144:ef7eb2e8f9f7 115
<> 144:ef7eb2e8f9f7 116 /* Option bytes control */
<> 144:ef7eb2e8f9f7 117 static HAL_StatusTypeDef FLASH_OB_EnableWRP(uint32_t WriteProtectPage);
<> 144:ef7eb2e8f9f7 118 static HAL_StatusTypeDef FLASH_OB_DisableWRP(uint32_t WriteProtectPage);
<> 144:ef7eb2e8f9f7 119 static HAL_StatusTypeDef FLASH_OB_RDP_LevelConfig(uint8_t ReadProtectLevel);
<> 144:ef7eb2e8f9f7 120 static HAL_StatusTypeDef FLASH_OB_UserConfig(uint8_t UserConfig);
<> 144:ef7eb2e8f9f7 121 static HAL_StatusTypeDef FLASH_OB_ProgramData(uint32_t Address, uint8_t Data);
<> 144:ef7eb2e8f9f7 122 static uint32_t FLASH_OB_GetWRP(void);
<> 144:ef7eb2e8f9f7 123 static uint32_t FLASH_OB_GetRDP(void);
<> 144:ef7eb2e8f9f7 124 static uint8_t FLASH_OB_GetUser(void);
<> 144:ef7eb2e8f9f7 125
<> 144:ef7eb2e8f9f7 126 /**
<> 144:ef7eb2e8f9f7 127 * @}
<> 144:ef7eb2e8f9f7 128 */
<> 144:ef7eb2e8f9f7 129
<> 144:ef7eb2e8f9f7 130 /* Exported functions ---------------------------------------------------------*/
<> 144:ef7eb2e8f9f7 131 /** @defgroup FLASHEx_Exported_Functions FLASHEx Exported Functions
<> 144:ef7eb2e8f9f7 132 * @{
<> 144:ef7eb2e8f9f7 133 */
<> 144:ef7eb2e8f9f7 134
<> 144:ef7eb2e8f9f7 135 /** @defgroup FLASHEx_Exported_Functions_Group1 FLASHEx Memory Erasing functions
<> 144:ef7eb2e8f9f7 136 * @brief FLASH Memory Erasing functions
<> 144:ef7eb2e8f9f7 137 *
<> 144:ef7eb2e8f9f7 138 @verbatim
<> 144:ef7eb2e8f9f7 139 ==============================================================================
<> 144:ef7eb2e8f9f7 140 ##### FLASH Erasing Programming functions #####
<> 144:ef7eb2e8f9f7 141 ==============================================================================
<> 144:ef7eb2e8f9f7 142
<> 144:ef7eb2e8f9f7 143 [..] The FLASH Memory Erasing functions, includes the following functions:
<> 144:ef7eb2e8f9f7 144 (+) @ref HAL_FLASHEx_Erase: return only when erase has been done
<> 144:ef7eb2e8f9f7 145 (+) @ref HAL_FLASHEx_Erase_IT: end of erase is done when @ref HAL_FLASH_EndOfOperationCallback
<> 144:ef7eb2e8f9f7 146 is called with parameter 0xFFFFFFFF
<> 144:ef7eb2e8f9f7 147
<> 144:ef7eb2e8f9f7 148 [..] Any operation of erase should follow these steps:
<> 144:ef7eb2e8f9f7 149 (#) Call the @ref HAL_FLASH_Unlock() function to enable the flash control register and
<> 144:ef7eb2e8f9f7 150 program memory access.
<> 144:ef7eb2e8f9f7 151 (#) Call the desired function to erase page.
<> 144:ef7eb2e8f9f7 152 (#) Call the @ref HAL_FLASH_Lock() to disable the flash program memory access
<> 144:ef7eb2e8f9f7 153 (recommended to protect the FLASH memory against possible unwanted operation).
<> 144:ef7eb2e8f9f7 154
<> 144:ef7eb2e8f9f7 155 @endverbatim
<> 144:ef7eb2e8f9f7 156 * @{
<> 144:ef7eb2e8f9f7 157 */
<> 144:ef7eb2e8f9f7 158
<> 144:ef7eb2e8f9f7 159
<> 144:ef7eb2e8f9f7 160 /**
<> 144:ef7eb2e8f9f7 161 * @brief Perform a mass erase or erase the specified FLASH memory pages
<> 144:ef7eb2e8f9f7 162 * @note To correctly run this function, the @ref HAL_FLASH_Unlock() function
<> 144:ef7eb2e8f9f7 163 * must be called before.
<> 144:ef7eb2e8f9f7 164 * Call the @ref HAL_FLASH_Lock() to disable the flash memory access
<> 144:ef7eb2e8f9f7 165 * (recommended to protect the FLASH memory against possible unwanted operation)
<> 144:ef7eb2e8f9f7 166 * @param[in] pEraseInit pointer to an FLASH_EraseInitTypeDef structure that
<> 144:ef7eb2e8f9f7 167 * contains the configuration information for the erasing.
<> 144:ef7eb2e8f9f7 168 *
<> 144:ef7eb2e8f9f7 169 * @param[out] PageError pointer to variable that
<> 144:ef7eb2e8f9f7 170 * contains the configuration information on faulty page in case of error
<> 144:ef7eb2e8f9f7 171 * (0xFFFFFFFF means that all the pages have been correctly erased)
<> 144:ef7eb2e8f9f7 172 *
<> 144:ef7eb2e8f9f7 173 * @retval HAL_StatusTypeDef HAL Status
<> 144:ef7eb2e8f9f7 174 */
<> 144:ef7eb2e8f9f7 175 HAL_StatusTypeDef HAL_FLASHEx_Erase(FLASH_EraseInitTypeDef *pEraseInit, uint32_t *PageError)
<> 144:ef7eb2e8f9f7 176 {
<> 144:ef7eb2e8f9f7 177 HAL_StatusTypeDef status = HAL_ERROR;
AnnaBridge 165:e614a9f1c9e2 178 uint32_t address = 0U;
<> 144:ef7eb2e8f9f7 179
<> 144:ef7eb2e8f9f7 180 /* Process Locked */
<> 144:ef7eb2e8f9f7 181 __HAL_LOCK(&pFlash);
<> 144:ef7eb2e8f9f7 182
<> 144:ef7eb2e8f9f7 183 /* Check the parameters */
<> 144:ef7eb2e8f9f7 184 assert_param(IS_FLASH_TYPEERASE(pEraseInit->TypeErase));
<> 144:ef7eb2e8f9f7 185
<> 144:ef7eb2e8f9f7 186 if (pEraseInit->TypeErase == FLASH_TYPEERASE_MASSERASE)
<> 144:ef7eb2e8f9f7 187 {
<> 144:ef7eb2e8f9f7 188 #if defined(FLASH_BANK2_END)
<> 144:ef7eb2e8f9f7 189 if (pEraseInit->Banks == FLASH_BANK_BOTH)
<> 144:ef7eb2e8f9f7 190 {
<> 144:ef7eb2e8f9f7 191 /* Mass Erase requested for Bank1 and Bank2 */
<> 144:ef7eb2e8f9f7 192 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 193 if ((FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE) == HAL_OK) && \
<> 144:ef7eb2e8f9f7 194 (FLASH_WaitForLastOperationBank2((uint32_t)FLASH_TIMEOUT_VALUE) == HAL_OK))
<> 144:ef7eb2e8f9f7 195 {
<> 144:ef7eb2e8f9f7 196 /*Mass erase to be done*/
<> 144:ef7eb2e8f9f7 197 FLASH_MassErase(FLASH_BANK_BOTH);
<> 144:ef7eb2e8f9f7 198
<> 144:ef7eb2e8f9f7 199 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 200 if ((FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE) == HAL_OK) && \
<> 144:ef7eb2e8f9f7 201 (FLASH_WaitForLastOperationBank2((uint32_t)FLASH_TIMEOUT_VALUE) == HAL_OK))
<> 144:ef7eb2e8f9f7 202 {
<> 144:ef7eb2e8f9f7 203 status = HAL_OK;
<> 144:ef7eb2e8f9f7 204 }
<> 144:ef7eb2e8f9f7 205
<> 144:ef7eb2e8f9f7 206 /* If the erase operation is completed, disable the MER Bit */
<> 144:ef7eb2e8f9f7 207 CLEAR_BIT(FLASH->CR, FLASH_CR_MER);
<> 144:ef7eb2e8f9f7 208 CLEAR_BIT(FLASH->CR2, FLASH_CR2_MER);
<> 144:ef7eb2e8f9f7 209 }
<> 144:ef7eb2e8f9f7 210 }
<> 144:ef7eb2e8f9f7 211 else if (pEraseInit->Banks == FLASH_BANK_2)
<> 144:ef7eb2e8f9f7 212 {
<> 144:ef7eb2e8f9f7 213 /* Mass Erase requested for Bank2 */
<> 144:ef7eb2e8f9f7 214 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 215 if (FLASH_WaitForLastOperationBank2((uint32_t)FLASH_TIMEOUT_VALUE) == HAL_OK)
<> 144:ef7eb2e8f9f7 216 {
<> 144:ef7eb2e8f9f7 217 /*Mass erase to be done*/
<> 144:ef7eb2e8f9f7 218 FLASH_MassErase(FLASH_BANK_2);
<> 144:ef7eb2e8f9f7 219
<> 144:ef7eb2e8f9f7 220 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 221 status = FLASH_WaitForLastOperationBank2((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 222
<> 144:ef7eb2e8f9f7 223 /* If the erase operation is completed, disable the MER Bit */
<> 144:ef7eb2e8f9f7 224 CLEAR_BIT(FLASH->CR2, FLASH_CR2_MER);
<> 144:ef7eb2e8f9f7 225 }
<> 144:ef7eb2e8f9f7 226 }
<> 144:ef7eb2e8f9f7 227 else
<> 144:ef7eb2e8f9f7 228 #endif /* FLASH_BANK2_END */
<> 144:ef7eb2e8f9f7 229 {
<> 144:ef7eb2e8f9f7 230 /* Mass Erase requested for Bank1 */
<> 144:ef7eb2e8f9f7 231 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 232 if (FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE) == HAL_OK)
<> 144:ef7eb2e8f9f7 233 {
<> 144:ef7eb2e8f9f7 234 /*Mass erase to be done*/
<> 144:ef7eb2e8f9f7 235 FLASH_MassErase(FLASH_BANK_1);
<> 144:ef7eb2e8f9f7 236
<> 144:ef7eb2e8f9f7 237 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 238 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 239
<> 144:ef7eb2e8f9f7 240 /* If the erase operation is completed, disable the MER Bit */
<> 144:ef7eb2e8f9f7 241 CLEAR_BIT(FLASH->CR, FLASH_CR_MER);
<> 144:ef7eb2e8f9f7 242 }
<> 144:ef7eb2e8f9f7 243 }
<> 144:ef7eb2e8f9f7 244 }
<> 144:ef7eb2e8f9f7 245 else
<> 144:ef7eb2e8f9f7 246 {
<> 144:ef7eb2e8f9f7 247 /* Page Erase is requested */
<> 144:ef7eb2e8f9f7 248 /* Check the parameters */
<> 144:ef7eb2e8f9f7 249 assert_param(IS_FLASH_PROGRAM_ADDRESS(pEraseInit->PageAddress));
<> 144:ef7eb2e8f9f7 250 assert_param(IS_FLASH_NB_PAGES(pEraseInit->PageAddress, pEraseInit->NbPages));
<> 144:ef7eb2e8f9f7 251
<> 144:ef7eb2e8f9f7 252 #if defined(FLASH_BANK2_END)
<> 144:ef7eb2e8f9f7 253 /* Page Erase requested on address located on bank2 */
<> 144:ef7eb2e8f9f7 254 if(pEraseInit->PageAddress > FLASH_BANK1_END)
<> 144:ef7eb2e8f9f7 255 {
<> 144:ef7eb2e8f9f7 256 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 257 if (FLASH_WaitForLastOperationBank2((uint32_t)FLASH_TIMEOUT_VALUE) == HAL_OK)
<> 144:ef7eb2e8f9f7 258 {
<> 144:ef7eb2e8f9f7 259 /*Initialization of PageError variable*/
AnnaBridge 165:e614a9f1c9e2 260 *PageError = 0xFFFFFFFFU;
<> 144:ef7eb2e8f9f7 261
<> 144:ef7eb2e8f9f7 262 /* Erase by page by page to be done*/
<> 144:ef7eb2e8f9f7 263 for(address = pEraseInit->PageAddress;
<> 144:ef7eb2e8f9f7 264 address < (pEraseInit->PageAddress + (pEraseInit->NbPages)*FLASH_PAGE_SIZE);
<> 144:ef7eb2e8f9f7 265 address += FLASH_PAGE_SIZE)
<> 144:ef7eb2e8f9f7 266 {
<> 144:ef7eb2e8f9f7 267 FLASH_PageErase(address);
<> 144:ef7eb2e8f9f7 268
<> 144:ef7eb2e8f9f7 269 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 270 status = FLASH_WaitForLastOperationBank2((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 271
<> 144:ef7eb2e8f9f7 272 /* If the erase operation is completed, disable the PER Bit */
<> 144:ef7eb2e8f9f7 273 CLEAR_BIT(FLASH->CR2, FLASH_CR2_PER);
<> 144:ef7eb2e8f9f7 274
<> 144:ef7eb2e8f9f7 275 if (status != HAL_OK)
<> 144:ef7eb2e8f9f7 276 {
<> 144:ef7eb2e8f9f7 277 /* In case of error, stop erase procedure and return the faulty address */
<> 144:ef7eb2e8f9f7 278 *PageError = address;
<> 144:ef7eb2e8f9f7 279 break;
<> 144:ef7eb2e8f9f7 280 }
<> 144:ef7eb2e8f9f7 281 }
<> 144:ef7eb2e8f9f7 282 }
<> 144:ef7eb2e8f9f7 283 }
<> 144:ef7eb2e8f9f7 284 else
<> 144:ef7eb2e8f9f7 285 #endif /* FLASH_BANK2_END */
<> 144:ef7eb2e8f9f7 286 {
<> 144:ef7eb2e8f9f7 287 /* Page Erase requested on address located on bank1 */
<> 144:ef7eb2e8f9f7 288 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 289 if (FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE) == HAL_OK)
<> 144:ef7eb2e8f9f7 290 {
<> 144:ef7eb2e8f9f7 291 /*Initialization of PageError variable*/
AnnaBridge 165:e614a9f1c9e2 292 *PageError = 0xFFFFFFFFU;
<> 144:ef7eb2e8f9f7 293
<> 144:ef7eb2e8f9f7 294 /* Erase page by page to be done*/
<> 144:ef7eb2e8f9f7 295 for(address = pEraseInit->PageAddress;
<> 144:ef7eb2e8f9f7 296 address < ((pEraseInit->NbPages * FLASH_PAGE_SIZE) + pEraseInit->PageAddress);
<> 144:ef7eb2e8f9f7 297 address += FLASH_PAGE_SIZE)
<> 144:ef7eb2e8f9f7 298 {
<> 144:ef7eb2e8f9f7 299 FLASH_PageErase(address);
<> 144:ef7eb2e8f9f7 300
<> 144:ef7eb2e8f9f7 301 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 302 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 303
<> 144:ef7eb2e8f9f7 304 /* If the erase operation is completed, disable the PER Bit */
<> 144:ef7eb2e8f9f7 305 CLEAR_BIT(FLASH->CR, FLASH_CR_PER);
<> 144:ef7eb2e8f9f7 306
<> 144:ef7eb2e8f9f7 307 if (status != HAL_OK)
<> 144:ef7eb2e8f9f7 308 {
<> 144:ef7eb2e8f9f7 309 /* In case of error, stop erase procedure and return the faulty address */
<> 144:ef7eb2e8f9f7 310 *PageError = address;
<> 144:ef7eb2e8f9f7 311 break;
<> 144:ef7eb2e8f9f7 312 }
<> 144:ef7eb2e8f9f7 313 }
<> 144:ef7eb2e8f9f7 314 }
<> 144:ef7eb2e8f9f7 315 }
<> 144:ef7eb2e8f9f7 316 }
<> 144:ef7eb2e8f9f7 317
<> 144:ef7eb2e8f9f7 318 /* Process Unlocked */
<> 144:ef7eb2e8f9f7 319 __HAL_UNLOCK(&pFlash);
<> 144:ef7eb2e8f9f7 320
<> 144:ef7eb2e8f9f7 321 return status;
<> 144:ef7eb2e8f9f7 322 }
<> 144:ef7eb2e8f9f7 323
<> 144:ef7eb2e8f9f7 324 /**
<> 144:ef7eb2e8f9f7 325 * @brief Perform a mass erase or erase the specified FLASH memory pages with interrupt enabled
<> 144:ef7eb2e8f9f7 326 * @note To correctly run this function, the @ref HAL_FLASH_Unlock() function
<> 144:ef7eb2e8f9f7 327 * must be called before.
<> 144:ef7eb2e8f9f7 328 * Call the @ref HAL_FLASH_Lock() to disable the flash memory access
<> 144:ef7eb2e8f9f7 329 * (recommended to protect the FLASH memory against possible unwanted operation)
<> 144:ef7eb2e8f9f7 330 * @param pEraseInit pointer to an FLASH_EraseInitTypeDef structure that
<> 144:ef7eb2e8f9f7 331 * contains the configuration information for the erasing.
<> 144:ef7eb2e8f9f7 332 *
<> 144:ef7eb2e8f9f7 333 * @retval HAL_StatusTypeDef HAL Status
<> 144:ef7eb2e8f9f7 334 */
<> 144:ef7eb2e8f9f7 335 HAL_StatusTypeDef HAL_FLASHEx_Erase_IT(FLASH_EraseInitTypeDef *pEraseInit)
<> 144:ef7eb2e8f9f7 336 {
<> 144:ef7eb2e8f9f7 337 HAL_StatusTypeDef status = HAL_OK;
<> 144:ef7eb2e8f9f7 338
<> 144:ef7eb2e8f9f7 339 /* Process Locked */
<> 144:ef7eb2e8f9f7 340 __HAL_LOCK(&pFlash);
<> 144:ef7eb2e8f9f7 341
<> 144:ef7eb2e8f9f7 342 /* If procedure already ongoing, reject the next one */
<> 144:ef7eb2e8f9f7 343 if (pFlash.ProcedureOnGoing != FLASH_PROC_NONE)
<> 144:ef7eb2e8f9f7 344 {
<> 144:ef7eb2e8f9f7 345 return HAL_ERROR;
<> 144:ef7eb2e8f9f7 346 }
<> 144:ef7eb2e8f9f7 347
<> 144:ef7eb2e8f9f7 348 /* Check the parameters */
<> 144:ef7eb2e8f9f7 349 assert_param(IS_FLASH_TYPEERASE(pEraseInit->TypeErase));
<> 144:ef7eb2e8f9f7 350
<> 144:ef7eb2e8f9f7 351 /* Enable End of FLASH Operation and Error source interrupts */
<> 144:ef7eb2e8f9f7 352 __HAL_FLASH_ENABLE_IT(FLASH_IT_EOP | FLASH_IT_ERR);
<> 144:ef7eb2e8f9f7 353
<> 144:ef7eb2e8f9f7 354 #if defined(FLASH_BANK2_END)
<> 144:ef7eb2e8f9f7 355 /* Enable End of FLASH Operation and Error source interrupts */
<> 144:ef7eb2e8f9f7 356 __HAL_FLASH_ENABLE_IT(FLASH_IT_EOP_BANK2 | FLASH_IT_ERR_BANK2);
<> 144:ef7eb2e8f9f7 357
<> 144:ef7eb2e8f9f7 358 #endif
<> 144:ef7eb2e8f9f7 359 if (pEraseInit->TypeErase == FLASH_TYPEERASE_MASSERASE)
<> 144:ef7eb2e8f9f7 360 {
<> 144:ef7eb2e8f9f7 361 /*Mass erase to be done*/
<> 144:ef7eb2e8f9f7 362 pFlash.ProcedureOnGoing = FLASH_PROC_MASSERASE;
<> 144:ef7eb2e8f9f7 363 FLASH_MassErase(pEraseInit->Banks);
<> 144:ef7eb2e8f9f7 364 }
<> 144:ef7eb2e8f9f7 365 else
<> 144:ef7eb2e8f9f7 366 {
<> 144:ef7eb2e8f9f7 367 /* Erase by page to be done*/
<> 144:ef7eb2e8f9f7 368
<> 144:ef7eb2e8f9f7 369 /* Check the parameters */
<> 144:ef7eb2e8f9f7 370 assert_param(IS_FLASH_PROGRAM_ADDRESS(pEraseInit->PageAddress));
<> 144:ef7eb2e8f9f7 371 assert_param(IS_FLASH_NB_PAGES(pEraseInit->PageAddress, pEraseInit->NbPages));
<> 144:ef7eb2e8f9f7 372
<> 144:ef7eb2e8f9f7 373 pFlash.ProcedureOnGoing = FLASH_PROC_PAGEERASE;
<> 144:ef7eb2e8f9f7 374 pFlash.DataRemaining = pEraseInit->NbPages;
<> 144:ef7eb2e8f9f7 375 pFlash.Address = pEraseInit->PageAddress;
<> 144:ef7eb2e8f9f7 376
<> 144:ef7eb2e8f9f7 377 /*Erase 1st page and wait for IT*/
<> 144:ef7eb2e8f9f7 378 FLASH_PageErase(pEraseInit->PageAddress);
<> 144:ef7eb2e8f9f7 379 }
<> 144:ef7eb2e8f9f7 380
<> 144:ef7eb2e8f9f7 381 return status;
<> 144:ef7eb2e8f9f7 382 }
<> 144:ef7eb2e8f9f7 383
<> 144:ef7eb2e8f9f7 384 /**
<> 144:ef7eb2e8f9f7 385 * @}
<> 144:ef7eb2e8f9f7 386 */
<> 144:ef7eb2e8f9f7 387
<> 144:ef7eb2e8f9f7 388 /** @defgroup FLASHEx_Exported_Functions_Group2 Option Bytes Programming functions
<> 144:ef7eb2e8f9f7 389 * @brief Option Bytes Programming functions
<> 144:ef7eb2e8f9f7 390 *
<> 144:ef7eb2e8f9f7 391 @verbatim
<> 144:ef7eb2e8f9f7 392 ==============================================================================
<> 144:ef7eb2e8f9f7 393 ##### Option Bytes Programming functions #####
<> 144:ef7eb2e8f9f7 394 ==============================================================================
<> 144:ef7eb2e8f9f7 395 [..]
<> 144:ef7eb2e8f9f7 396 This subsection provides a set of functions allowing to control the FLASH
<> 144:ef7eb2e8f9f7 397 option bytes operations.
<> 144:ef7eb2e8f9f7 398
<> 144:ef7eb2e8f9f7 399 @endverbatim
<> 144:ef7eb2e8f9f7 400 * @{
<> 144:ef7eb2e8f9f7 401 */
<> 144:ef7eb2e8f9f7 402
<> 144:ef7eb2e8f9f7 403 /**
<> 144:ef7eb2e8f9f7 404 * @brief Erases the FLASH option bytes.
<> 144:ef7eb2e8f9f7 405 * @note This functions erases all option bytes except the Read protection (RDP).
<> 144:ef7eb2e8f9f7 406 * The function @ref HAL_FLASH_Unlock() should be called before to unlock the FLASH interface
<> 144:ef7eb2e8f9f7 407 * The function @ref HAL_FLASH_OB_Unlock() should be called before to unlock the options bytes
<> 144:ef7eb2e8f9f7 408 * The function @ref HAL_FLASH_OB_Launch() should be called after to force the reload of the options bytes
<> 144:ef7eb2e8f9f7 409 * (system reset will occur)
<> 144:ef7eb2e8f9f7 410 * @retval HAL status
<> 144:ef7eb2e8f9f7 411 */
<> 144:ef7eb2e8f9f7 412
<> 144:ef7eb2e8f9f7 413 HAL_StatusTypeDef HAL_FLASHEx_OBErase(void)
<> 144:ef7eb2e8f9f7 414 {
<> 144:ef7eb2e8f9f7 415 uint8_t rdptmp = OB_RDP_LEVEL_0;
<> 144:ef7eb2e8f9f7 416 HAL_StatusTypeDef status = HAL_ERROR;
<> 144:ef7eb2e8f9f7 417
<> 144:ef7eb2e8f9f7 418 /* Get the actual read protection Option Byte value */
<> 144:ef7eb2e8f9f7 419 rdptmp = FLASH_OB_GetRDP();
<> 144:ef7eb2e8f9f7 420
<> 144:ef7eb2e8f9f7 421 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 422 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 423
<> 144:ef7eb2e8f9f7 424 if(status == HAL_OK)
<> 144:ef7eb2e8f9f7 425 {
<> 144:ef7eb2e8f9f7 426 /* Clean the error context */
<> 144:ef7eb2e8f9f7 427 pFlash.ErrorCode = HAL_FLASH_ERROR_NONE;
<> 144:ef7eb2e8f9f7 428
<> 144:ef7eb2e8f9f7 429 /* If the previous operation is completed, proceed to erase the option bytes */
<> 144:ef7eb2e8f9f7 430 SET_BIT(FLASH->CR, FLASH_CR_OPTER);
<> 144:ef7eb2e8f9f7 431 SET_BIT(FLASH->CR, FLASH_CR_STRT);
<> 144:ef7eb2e8f9f7 432
<> 144:ef7eb2e8f9f7 433 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 434 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 435
<> 144:ef7eb2e8f9f7 436 /* If the erase operation is completed, disable the OPTER Bit */
<> 144:ef7eb2e8f9f7 437 CLEAR_BIT(FLASH->CR, FLASH_CR_OPTER);
<> 144:ef7eb2e8f9f7 438
<> 144:ef7eb2e8f9f7 439 if(status == HAL_OK)
<> 144:ef7eb2e8f9f7 440 {
<> 144:ef7eb2e8f9f7 441 /* Restore the last read protection Option Byte value */
<> 144:ef7eb2e8f9f7 442 status = FLASH_OB_RDP_LevelConfig(rdptmp);
<> 144:ef7eb2e8f9f7 443 }
<> 144:ef7eb2e8f9f7 444 }
<> 144:ef7eb2e8f9f7 445
<> 144:ef7eb2e8f9f7 446 /* Return the erase status */
<> 144:ef7eb2e8f9f7 447 return status;
<> 144:ef7eb2e8f9f7 448 }
<> 144:ef7eb2e8f9f7 449
<> 144:ef7eb2e8f9f7 450 /**
<> 144:ef7eb2e8f9f7 451 * @brief Program option bytes
<> 144:ef7eb2e8f9f7 452 * @note The function @ref HAL_FLASH_Unlock() should be called before to unlock the FLASH interface
<> 144:ef7eb2e8f9f7 453 * The function @ref HAL_FLASH_OB_Unlock() should be called before to unlock the options bytes
<> 144:ef7eb2e8f9f7 454 * The function @ref HAL_FLASH_OB_Launch() should be called after to force the reload of the options bytes
<> 144:ef7eb2e8f9f7 455 * (system reset will occur)
<> 144:ef7eb2e8f9f7 456 *
<> 144:ef7eb2e8f9f7 457 * @param pOBInit pointer to an FLASH_OBInitStruct structure that
<> 144:ef7eb2e8f9f7 458 * contains the configuration information for the programming.
<> 144:ef7eb2e8f9f7 459 *
<> 144:ef7eb2e8f9f7 460 * @retval HAL_StatusTypeDef HAL Status
<> 144:ef7eb2e8f9f7 461 */
<> 144:ef7eb2e8f9f7 462 HAL_StatusTypeDef HAL_FLASHEx_OBProgram(FLASH_OBProgramInitTypeDef *pOBInit)
<> 144:ef7eb2e8f9f7 463 {
<> 144:ef7eb2e8f9f7 464 HAL_StatusTypeDef status = HAL_ERROR;
<> 144:ef7eb2e8f9f7 465
<> 144:ef7eb2e8f9f7 466 /* Process Locked */
<> 144:ef7eb2e8f9f7 467 __HAL_LOCK(&pFlash);
<> 144:ef7eb2e8f9f7 468
<> 144:ef7eb2e8f9f7 469 /* Check the parameters */
<> 144:ef7eb2e8f9f7 470 assert_param(IS_OPTIONBYTE(pOBInit->OptionType));
<> 144:ef7eb2e8f9f7 471
<> 144:ef7eb2e8f9f7 472 /* Write protection configuration */
<> 144:ef7eb2e8f9f7 473 if((pOBInit->OptionType & OPTIONBYTE_WRP) == OPTIONBYTE_WRP)
<> 144:ef7eb2e8f9f7 474 {
<> 144:ef7eb2e8f9f7 475 assert_param(IS_WRPSTATE(pOBInit->WRPState));
<> 144:ef7eb2e8f9f7 476 if (pOBInit->WRPState == OB_WRPSTATE_ENABLE)
<> 144:ef7eb2e8f9f7 477 {
<> 144:ef7eb2e8f9f7 478 /* Enable of Write protection on the selected page */
<> 144:ef7eb2e8f9f7 479 status = FLASH_OB_EnableWRP(pOBInit->WRPPage);
<> 144:ef7eb2e8f9f7 480 }
<> 144:ef7eb2e8f9f7 481 else
<> 144:ef7eb2e8f9f7 482 {
<> 144:ef7eb2e8f9f7 483 /* Disable of Write protection on the selected page */
<> 144:ef7eb2e8f9f7 484 status = FLASH_OB_DisableWRP(pOBInit->WRPPage);
<> 144:ef7eb2e8f9f7 485 }
<> 144:ef7eb2e8f9f7 486 if (status != HAL_OK)
<> 144:ef7eb2e8f9f7 487 {
<> 144:ef7eb2e8f9f7 488 /* Process Unlocked */
<> 144:ef7eb2e8f9f7 489 __HAL_UNLOCK(&pFlash);
<> 144:ef7eb2e8f9f7 490 return status;
<> 144:ef7eb2e8f9f7 491 }
<> 144:ef7eb2e8f9f7 492 }
<> 144:ef7eb2e8f9f7 493
<> 144:ef7eb2e8f9f7 494 /* Read protection configuration */
<> 144:ef7eb2e8f9f7 495 if((pOBInit->OptionType & OPTIONBYTE_RDP) == OPTIONBYTE_RDP)
<> 144:ef7eb2e8f9f7 496 {
<> 144:ef7eb2e8f9f7 497 status = FLASH_OB_RDP_LevelConfig(pOBInit->RDPLevel);
<> 144:ef7eb2e8f9f7 498 if (status != HAL_OK)
<> 144:ef7eb2e8f9f7 499 {
<> 144:ef7eb2e8f9f7 500 /* Process Unlocked */
<> 144:ef7eb2e8f9f7 501 __HAL_UNLOCK(&pFlash);
<> 144:ef7eb2e8f9f7 502 return status;
<> 144:ef7eb2e8f9f7 503 }
<> 144:ef7eb2e8f9f7 504 }
<> 144:ef7eb2e8f9f7 505
<> 144:ef7eb2e8f9f7 506 /* USER configuration */
<> 144:ef7eb2e8f9f7 507 if((pOBInit->OptionType & OPTIONBYTE_USER) == OPTIONBYTE_USER)
<> 144:ef7eb2e8f9f7 508 {
<> 144:ef7eb2e8f9f7 509 status = FLASH_OB_UserConfig(pOBInit->USERConfig);
<> 144:ef7eb2e8f9f7 510 if (status != HAL_OK)
<> 144:ef7eb2e8f9f7 511 {
<> 144:ef7eb2e8f9f7 512 /* Process Unlocked */
<> 144:ef7eb2e8f9f7 513 __HAL_UNLOCK(&pFlash);
<> 144:ef7eb2e8f9f7 514 return status;
<> 144:ef7eb2e8f9f7 515 }
<> 144:ef7eb2e8f9f7 516 }
<> 144:ef7eb2e8f9f7 517
<> 144:ef7eb2e8f9f7 518 /* DATA configuration*/
<> 144:ef7eb2e8f9f7 519 if((pOBInit->OptionType & OPTIONBYTE_DATA) == OPTIONBYTE_DATA)
<> 144:ef7eb2e8f9f7 520 {
<> 144:ef7eb2e8f9f7 521 status = FLASH_OB_ProgramData(pOBInit->DATAAddress, pOBInit->DATAData);
<> 144:ef7eb2e8f9f7 522 if (status != HAL_OK)
<> 144:ef7eb2e8f9f7 523 {
<> 144:ef7eb2e8f9f7 524 /* Process Unlocked */
<> 144:ef7eb2e8f9f7 525 __HAL_UNLOCK(&pFlash);
<> 144:ef7eb2e8f9f7 526 return status;
<> 144:ef7eb2e8f9f7 527 }
<> 144:ef7eb2e8f9f7 528 }
<> 144:ef7eb2e8f9f7 529
<> 144:ef7eb2e8f9f7 530 /* Process Unlocked */
<> 144:ef7eb2e8f9f7 531 __HAL_UNLOCK(&pFlash);
<> 144:ef7eb2e8f9f7 532
<> 144:ef7eb2e8f9f7 533 return status;
<> 144:ef7eb2e8f9f7 534 }
<> 144:ef7eb2e8f9f7 535
<> 144:ef7eb2e8f9f7 536 /**
<> 144:ef7eb2e8f9f7 537 * @brief Get the Option byte configuration
<> 144:ef7eb2e8f9f7 538 * @param pOBInit pointer to an FLASH_OBInitStruct structure that
<> 144:ef7eb2e8f9f7 539 * contains the configuration information for the programming.
<> 144:ef7eb2e8f9f7 540 *
<> 144:ef7eb2e8f9f7 541 * @retval None
<> 144:ef7eb2e8f9f7 542 */
<> 144:ef7eb2e8f9f7 543 void HAL_FLASHEx_OBGetConfig(FLASH_OBProgramInitTypeDef *pOBInit)
<> 144:ef7eb2e8f9f7 544 {
<> 144:ef7eb2e8f9f7 545 pOBInit->OptionType = OPTIONBYTE_WRP | OPTIONBYTE_RDP | OPTIONBYTE_USER;
<> 144:ef7eb2e8f9f7 546
<> 144:ef7eb2e8f9f7 547 /*Get WRP*/
<> 144:ef7eb2e8f9f7 548 pOBInit->WRPPage = FLASH_OB_GetWRP();
<> 144:ef7eb2e8f9f7 549
<> 144:ef7eb2e8f9f7 550 /*Get RDP Level*/
<> 144:ef7eb2e8f9f7 551 pOBInit->RDPLevel = FLASH_OB_GetRDP();
<> 144:ef7eb2e8f9f7 552
<> 144:ef7eb2e8f9f7 553 /*Get USER*/
<> 144:ef7eb2e8f9f7 554 pOBInit->USERConfig = FLASH_OB_GetUser();
<> 144:ef7eb2e8f9f7 555 }
<> 144:ef7eb2e8f9f7 556
<> 144:ef7eb2e8f9f7 557 /**
<> 144:ef7eb2e8f9f7 558 * @brief Get the Option byte user data
<> 144:ef7eb2e8f9f7 559 * @param DATAAdress Address of the option byte DATA
<> 144:ef7eb2e8f9f7 560 * This parameter can be one of the following values:
<> 144:ef7eb2e8f9f7 561 * @arg @ref OB_DATA_ADDRESS_DATA0
<> 144:ef7eb2e8f9f7 562 * @arg @ref OB_DATA_ADDRESS_DATA1
<> 144:ef7eb2e8f9f7 563 * @retval Value programmed in USER data
<> 144:ef7eb2e8f9f7 564 */
<> 144:ef7eb2e8f9f7 565 uint32_t HAL_FLASHEx_OBGetUserData(uint32_t DATAAdress)
<> 144:ef7eb2e8f9f7 566 {
<> 144:ef7eb2e8f9f7 567 uint32_t value = 0;
<> 144:ef7eb2e8f9f7 568
<> 144:ef7eb2e8f9f7 569 if (DATAAdress == OB_DATA_ADDRESS_DATA0)
<> 144:ef7eb2e8f9f7 570 {
<> 144:ef7eb2e8f9f7 571 /* Get value programmed in OB USER Data0 */
<> 144:ef7eb2e8f9f7 572 value = READ_BIT(FLASH->OBR, FLASH_OBR_DATA0) >> FLASH_POSITION_OB_USERDATA0_BIT;
<> 144:ef7eb2e8f9f7 573 }
<> 144:ef7eb2e8f9f7 574 else
<> 144:ef7eb2e8f9f7 575 {
<> 144:ef7eb2e8f9f7 576 /* Get value programmed in OB USER Data1 */
<> 144:ef7eb2e8f9f7 577 value = READ_BIT(FLASH->OBR, FLASH_OBR_DATA1) >> FLASH_POSITION_OB_USERDATA1_BIT;
<> 144:ef7eb2e8f9f7 578 }
<> 144:ef7eb2e8f9f7 579
<> 144:ef7eb2e8f9f7 580 return value;
<> 144:ef7eb2e8f9f7 581 }
<> 144:ef7eb2e8f9f7 582
<> 144:ef7eb2e8f9f7 583 /**
<> 144:ef7eb2e8f9f7 584 * @}
<> 144:ef7eb2e8f9f7 585 */
<> 144:ef7eb2e8f9f7 586
<> 144:ef7eb2e8f9f7 587 /**
<> 144:ef7eb2e8f9f7 588 * @}
<> 144:ef7eb2e8f9f7 589 */
<> 144:ef7eb2e8f9f7 590
<> 144:ef7eb2e8f9f7 591 /** @addtogroup FLASHEx_Private_Functions
<> 144:ef7eb2e8f9f7 592 * @{
<> 144:ef7eb2e8f9f7 593 */
<> 144:ef7eb2e8f9f7 594
<> 144:ef7eb2e8f9f7 595 /**
<> 144:ef7eb2e8f9f7 596 * @brief Full erase of FLASH memory Bank
<> 144:ef7eb2e8f9f7 597 * @param Banks Banks to be erased
<> 144:ef7eb2e8f9f7 598 * This parameter can be one of the following values:
<> 144:ef7eb2e8f9f7 599 * @arg @ref FLASH_BANK_1 Bank1 to be erased
<> 144:ef7eb2e8f9f7 600 @if STM32F101xG
<> 144:ef7eb2e8f9f7 601 * @arg @ref FLASH_BANK_2 Bank2 to be erased
<> 144:ef7eb2e8f9f7 602 * @arg @ref FLASH_BANK_BOTH Bank1 and Bank2 to be erased
<> 144:ef7eb2e8f9f7 603 @endif
<> 144:ef7eb2e8f9f7 604 @if STM32F103xG
<> 144:ef7eb2e8f9f7 605 * @arg @ref FLASH_BANK_2 Bank2 to be erased
<> 144:ef7eb2e8f9f7 606 * @arg @ref FLASH_BANK_BOTH Bank1 and Bank2 to be erased
<> 144:ef7eb2e8f9f7 607 @endif
<> 144:ef7eb2e8f9f7 608 *
<> 144:ef7eb2e8f9f7 609 * @retval None
<> 144:ef7eb2e8f9f7 610 */
<> 144:ef7eb2e8f9f7 611 static void FLASH_MassErase(uint32_t Banks)
<> 144:ef7eb2e8f9f7 612 {
<> 144:ef7eb2e8f9f7 613 /* Check the parameters */
<> 144:ef7eb2e8f9f7 614 assert_param(IS_FLASH_BANK(Banks));
<> 144:ef7eb2e8f9f7 615
<> 144:ef7eb2e8f9f7 616 /* Clean the error context */
<> 144:ef7eb2e8f9f7 617 pFlash.ErrorCode = HAL_FLASH_ERROR_NONE;
<> 144:ef7eb2e8f9f7 618
<> 144:ef7eb2e8f9f7 619 #if defined(FLASH_BANK2_END)
<> 144:ef7eb2e8f9f7 620 if(Banks == FLASH_BANK_BOTH)
<> 144:ef7eb2e8f9f7 621 {
<> 144:ef7eb2e8f9f7 622 /* bank1 & bank2 will be erased*/
<> 144:ef7eb2e8f9f7 623 SET_BIT(FLASH->CR, FLASH_CR_MER);
<> 144:ef7eb2e8f9f7 624 SET_BIT(FLASH->CR2, FLASH_CR2_MER);
<> 144:ef7eb2e8f9f7 625 SET_BIT(FLASH->CR, FLASH_CR_STRT);
<> 144:ef7eb2e8f9f7 626 SET_BIT(FLASH->CR2, FLASH_CR2_STRT);
<> 144:ef7eb2e8f9f7 627 }
<> 144:ef7eb2e8f9f7 628 else if(Banks == FLASH_BANK_2)
<> 144:ef7eb2e8f9f7 629 {
<> 144:ef7eb2e8f9f7 630 /*Only bank2 will be erased*/
<> 144:ef7eb2e8f9f7 631 SET_BIT(FLASH->CR2, FLASH_CR2_MER);
<> 144:ef7eb2e8f9f7 632 SET_BIT(FLASH->CR2, FLASH_CR2_STRT);
<> 144:ef7eb2e8f9f7 633 }
<> 144:ef7eb2e8f9f7 634 else
<> 144:ef7eb2e8f9f7 635 {
<> 144:ef7eb2e8f9f7 636 #endif /* FLASH_BANK2_END */
AnnaBridge 165:e614a9f1c9e2 637 #if !defined(FLASH_BANK2_END)
AnnaBridge 165:e614a9f1c9e2 638 /* Prevent unused argument(s) compilation warning */
AnnaBridge 165:e614a9f1c9e2 639 UNUSED(Banks);
AnnaBridge 165:e614a9f1c9e2 640 #endif /* FLASH_BANK2_END */
<> 144:ef7eb2e8f9f7 641 /* Only bank1 will be erased*/
<> 144:ef7eb2e8f9f7 642 SET_BIT(FLASH->CR, FLASH_CR_MER);
<> 144:ef7eb2e8f9f7 643 SET_BIT(FLASH->CR, FLASH_CR_STRT);
<> 144:ef7eb2e8f9f7 644 #if defined(FLASH_BANK2_END)
<> 144:ef7eb2e8f9f7 645 }
<> 144:ef7eb2e8f9f7 646 #endif /* FLASH_BANK2_END */
<> 144:ef7eb2e8f9f7 647 }
<> 144:ef7eb2e8f9f7 648
<> 144:ef7eb2e8f9f7 649 /**
<> 144:ef7eb2e8f9f7 650 * @brief Enable the write protection of the desired pages
<> 144:ef7eb2e8f9f7 651 * @note An option byte erase is done automatically in this function.
<> 144:ef7eb2e8f9f7 652 * @note When the memory read protection level is selected (RDP level = 1),
<> 144:ef7eb2e8f9f7 653 * it is not possible to program or erase the flash page i if
<> 144:ef7eb2e8f9f7 654 * debug features are connected or boot code is executed in RAM, even if nWRPi = 1
<> 144:ef7eb2e8f9f7 655 *
<> 144:ef7eb2e8f9f7 656 * @param WriteProtectPage specifies the page(s) to be write protected.
<> 144:ef7eb2e8f9f7 657 * The value of this parameter depend on device used within the same series
<> 144:ef7eb2e8f9f7 658 * @retval HAL status
<> 144:ef7eb2e8f9f7 659 */
<> 144:ef7eb2e8f9f7 660 static HAL_StatusTypeDef FLASH_OB_EnableWRP(uint32_t WriteProtectPage)
<> 144:ef7eb2e8f9f7 661 {
<> 144:ef7eb2e8f9f7 662 HAL_StatusTypeDef status = HAL_OK;
<> 144:ef7eb2e8f9f7 663 uint16_t WRP0_Data = 0xFFFF;
<> 144:ef7eb2e8f9f7 664 #if defined(FLASH_WRP1_WRP1)
<> 144:ef7eb2e8f9f7 665 uint16_t WRP1_Data = 0xFFFF;
<> 144:ef7eb2e8f9f7 666 #endif /* FLASH_WRP1_WRP1 */
<> 144:ef7eb2e8f9f7 667 #if defined(FLASH_WRP2_WRP2)
<> 144:ef7eb2e8f9f7 668 uint16_t WRP2_Data = 0xFFFF;
<> 144:ef7eb2e8f9f7 669 #endif /* FLASH_WRP2_WRP2 */
<> 144:ef7eb2e8f9f7 670 #if defined(FLASH_WRP3_WRP3)
<> 144:ef7eb2e8f9f7 671 uint16_t WRP3_Data = 0xFFFF;
<> 144:ef7eb2e8f9f7 672 #endif /* FLASH_WRP3_WRP3 */
<> 144:ef7eb2e8f9f7 673
<> 144:ef7eb2e8f9f7 674 /* Check the parameters */
<> 144:ef7eb2e8f9f7 675 assert_param(IS_OB_WRP(WriteProtectPage));
<> 144:ef7eb2e8f9f7 676
<> 144:ef7eb2e8f9f7 677 /* Get current write protected pages and the new pages to be protected ******/
<> 144:ef7eb2e8f9f7 678 WriteProtectPage = (uint32_t)(~((~FLASH_OB_GetWRP()) | WriteProtectPage));
<> 144:ef7eb2e8f9f7 679
<> 144:ef7eb2e8f9f7 680 #if defined(OB_WRP_PAGES0TO15MASK)
<> 144:ef7eb2e8f9f7 681 WRP0_Data = (uint16_t)(WriteProtectPage & OB_WRP_PAGES0TO15MASK);
<> 144:ef7eb2e8f9f7 682 #elif defined(OB_WRP_PAGES0TO31MASK)
<> 144:ef7eb2e8f9f7 683 WRP0_Data = (uint16_t)(WriteProtectPage & OB_WRP_PAGES0TO31MASK);
<> 144:ef7eb2e8f9f7 684 #endif /* OB_WRP_PAGES0TO31MASK */
<> 144:ef7eb2e8f9f7 685
<> 144:ef7eb2e8f9f7 686 #if defined(OB_WRP_PAGES16TO31MASK)
AnnaBridge 165:e614a9f1c9e2 687 WRP1_Data = (uint16_t)((WriteProtectPage & OB_WRP_PAGES16TO31MASK) >> 8U);
<> 144:ef7eb2e8f9f7 688 #elif defined(OB_WRP_PAGES32TO63MASK)
AnnaBridge 165:e614a9f1c9e2 689 WRP1_Data = (uint16_t)((WriteProtectPage & OB_WRP_PAGES32TO63MASK) >> 8U);
<> 144:ef7eb2e8f9f7 690 #endif /* OB_WRP_PAGES32TO63MASK */
<> 144:ef7eb2e8f9f7 691
<> 144:ef7eb2e8f9f7 692 #if defined(OB_WRP_PAGES64TO95MASK)
AnnaBridge 165:e614a9f1c9e2 693 WRP2_Data = (uint16_t)((WriteProtectPage & OB_WRP_PAGES64TO95MASK) >> 16U);
<> 144:ef7eb2e8f9f7 694 #endif /* OB_WRP_PAGES64TO95MASK */
<> 144:ef7eb2e8f9f7 695 #if defined(OB_WRP_PAGES32TO47MASK)
AnnaBridge 165:e614a9f1c9e2 696 WRP2_Data = (uint16_t)((WriteProtectPage & OB_WRP_PAGES32TO47MASK) >> 16U);
<> 144:ef7eb2e8f9f7 697 #endif /* OB_WRP_PAGES32TO47MASK */
<> 144:ef7eb2e8f9f7 698
<> 144:ef7eb2e8f9f7 699 #if defined(OB_WRP_PAGES96TO127MASK)
AnnaBridge 165:e614a9f1c9e2 700 WRP3_Data = (uint16_t)((WriteProtectPage & OB_WRP_PAGES96TO127MASK) >> 24U);
<> 144:ef7eb2e8f9f7 701 #elif defined(OB_WRP_PAGES48TO255MASK)
AnnaBridge 165:e614a9f1c9e2 702 WRP3_Data = (uint16_t)((WriteProtectPage & OB_WRP_PAGES48TO255MASK) >> 24U);
<> 144:ef7eb2e8f9f7 703 #elif defined(OB_WRP_PAGES48TO511MASK)
AnnaBridge 165:e614a9f1c9e2 704 WRP3_Data = (uint16_t)((WriteProtectPage & OB_WRP_PAGES48TO511MASK) >> 24U);
<> 144:ef7eb2e8f9f7 705 #elif defined(OB_WRP_PAGES48TO127MASK)
AnnaBridge 165:e614a9f1c9e2 706 WRP3_Data = (uint16_t)((WriteProtectPage & OB_WRP_PAGES48TO127MASK) >> 24U);
<> 144:ef7eb2e8f9f7 707 #endif /* OB_WRP_PAGES96TO127MASK */
<> 144:ef7eb2e8f9f7 708
<> 144:ef7eb2e8f9f7 709 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 710 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 711
<> 144:ef7eb2e8f9f7 712 if(status == HAL_OK)
<> 144:ef7eb2e8f9f7 713 {
<> 144:ef7eb2e8f9f7 714 /* Clean the error context */
<> 144:ef7eb2e8f9f7 715 pFlash.ErrorCode = HAL_FLASH_ERROR_NONE;
<> 144:ef7eb2e8f9f7 716
<> 144:ef7eb2e8f9f7 717 /* To be able to write again option byte, need to perform a option byte erase */
<> 144:ef7eb2e8f9f7 718 status = HAL_FLASHEx_OBErase();
<> 144:ef7eb2e8f9f7 719 if (status == HAL_OK)
<> 144:ef7eb2e8f9f7 720 {
<> 144:ef7eb2e8f9f7 721 /* Enable write protection */
<> 144:ef7eb2e8f9f7 722 SET_BIT(FLASH->CR, FLASH_CR_OPTPG);
<> 144:ef7eb2e8f9f7 723
<> 144:ef7eb2e8f9f7 724 #if defined(FLASH_WRP0_WRP0)
AnnaBridge 165:e614a9f1c9e2 725 if(WRP0_Data != 0xFFU)
<> 144:ef7eb2e8f9f7 726 {
<> 144:ef7eb2e8f9f7 727 OB->WRP0 &= WRP0_Data;
<> 144:ef7eb2e8f9f7 728
<> 144:ef7eb2e8f9f7 729 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 730 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 731 }
<> 144:ef7eb2e8f9f7 732 #endif /* FLASH_WRP0_WRP0 */
<> 144:ef7eb2e8f9f7 733
<> 144:ef7eb2e8f9f7 734 #if defined(FLASH_WRP1_WRP1)
AnnaBridge 165:e614a9f1c9e2 735 if((status == HAL_OK) && (WRP1_Data != 0xFFU))
<> 144:ef7eb2e8f9f7 736 {
<> 144:ef7eb2e8f9f7 737 OB->WRP1 &= WRP1_Data;
<> 144:ef7eb2e8f9f7 738
<> 144:ef7eb2e8f9f7 739 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 740 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 741 }
<> 144:ef7eb2e8f9f7 742 #endif /* FLASH_WRP1_WRP1 */
<> 144:ef7eb2e8f9f7 743
<> 144:ef7eb2e8f9f7 744 #if defined(FLASH_WRP2_WRP2)
AnnaBridge 165:e614a9f1c9e2 745 if((status == HAL_OK) && (WRP2_Data != 0xFFU))
<> 144:ef7eb2e8f9f7 746 {
<> 144:ef7eb2e8f9f7 747 OB->WRP2 &= WRP2_Data;
<> 144:ef7eb2e8f9f7 748
<> 144:ef7eb2e8f9f7 749 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 750 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 751 }
<> 144:ef7eb2e8f9f7 752 #endif /* FLASH_WRP2_WRP2 */
<> 144:ef7eb2e8f9f7 753
<> 144:ef7eb2e8f9f7 754 #if defined(FLASH_WRP3_WRP3)
AnnaBridge 165:e614a9f1c9e2 755 if((status == HAL_OK) && (WRP3_Data != 0xFFU))
<> 144:ef7eb2e8f9f7 756 {
<> 144:ef7eb2e8f9f7 757 OB->WRP3 &= WRP3_Data;
<> 144:ef7eb2e8f9f7 758
<> 144:ef7eb2e8f9f7 759 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 760 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 761 }
<> 144:ef7eb2e8f9f7 762 #endif /* FLASH_WRP3_WRP3 */
<> 144:ef7eb2e8f9f7 763
<> 144:ef7eb2e8f9f7 764 /* if the program operation is completed, disable the OPTPG Bit */
<> 144:ef7eb2e8f9f7 765 CLEAR_BIT(FLASH->CR, FLASH_CR_OPTPG);
<> 144:ef7eb2e8f9f7 766 }
<> 144:ef7eb2e8f9f7 767 }
<> 144:ef7eb2e8f9f7 768
<> 144:ef7eb2e8f9f7 769 return status;
<> 144:ef7eb2e8f9f7 770 }
<> 144:ef7eb2e8f9f7 771
<> 144:ef7eb2e8f9f7 772 /**
<> 144:ef7eb2e8f9f7 773 * @brief Disable the write protection of the desired pages
<> 144:ef7eb2e8f9f7 774 * @note An option byte erase is done automatically in this function.
<> 144:ef7eb2e8f9f7 775 * @note When the memory read protection level is selected (RDP level = 1),
<> 144:ef7eb2e8f9f7 776 * it is not possible to program or erase the flash page i if
<> 144:ef7eb2e8f9f7 777 * debug features are connected or boot code is executed in RAM, even if nWRPi = 1
<> 144:ef7eb2e8f9f7 778 *
<> 144:ef7eb2e8f9f7 779 * @param WriteProtectPage specifies the page(s) to be write unprotected.
<> 144:ef7eb2e8f9f7 780 * The value of this parameter depend on device used within the same series
<> 144:ef7eb2e8f9f7 781 * @retval HAL status
<> 144:ef7eb2e8f9f7 782 */
<> 144:ef7eb2e8f9f7 783 static HAL_StatusTypeDef FLASH_OB_DisableWRP(uint32_t WriteProtectPage)
<> 144:ef7eb2e8f9f7 784 {
<> 144:ef7eb2e8f9f7 785 HAL_StatusTypeDef status = HAL_OK;
<> 144:ef7eb2e8f9f7 786 uint16_t WRP0_Data = 0xFFFF;
<> 144:ef7eb2e8f9f7 787 #if defined(FLASH_WRP1_WRP1)
<> 144:ef7eb2e8f9f7 788 uint16_t WRP1_Data = 0xFFFF;
<> 144:ef7eb2e8f9f7 789 #endif /* FLASH_WRP1_WRP1 */
<> 144:ef7eb2e8f9f7 790 #if defined(FLASH_WRP2_WRP2)
<> 144:ef7eb2e8f9f7 791 uint16_t WRP2_Data = 0xFFFF;
<> 144:ef7eb2e8f9f7 792 #endif /* FLASH_WRP2_WRP2 */
<> 144:ef7eb2e8f9f7 793 #if defined(FLASH_WRP3_WRP3)
<> 144:ef7eb2e8f9f7 794 uint16_t WRP3_Data = 0xFFFF;
<> 144:ef7eb2e8f9f7 795 #endif /* FLASH_WRP3_WRP3 */
<> 144:ef7eb2e8f9f7 796
<> 144:ef7eb2e8f9f7 797 /* Check the parameters */
<> 144:ef7eb2e8f9f7 798 assert_param(IS_OB_WRP(WriteProtectPage));
<> 144:ef7eb2e8f9f7 799
<> 144:ef7eb2e8f9f7 800 /* Get current write protected pages and the new pages to be unprotected ******/
<> 144:ef7eb2e8f9f7 801 WriteProtectPage = (FLASH_OB_GetWRP() | WriteProtectPage);
<> 144:ef7eb2e8f9f7 802
<> 144:ef7eb2e8f9f7 803 #if defined(OB_WRP_PAGES0TO15MASK)
<> 144:ef7eb2e8f9f7 804 WRP0_Data = (uint16_t)(WriteProtectPage & OB_WRP_PAGES0TO15MASK);
<> 144:ef7eb2e8f9f7 805 #elif defined(OB_WRP_PAGES0TO31MASK)
<> 144:ef7eb2e8f9f7 806 WRP0_Data = (uint16_t)(WriteProtectPage & OB_WRP_PAGES0TO31MASK);
<> 144:ef7eb2e8f9f7 807 #endif /* OB_WRP_PAGES0TO31MASK */
<> 144:ef7eb2e8f9f7 808
<> 144:ef7eb2e8f9f7 809 #if defined(OB_WRP_PAGES16TO31MASK)
AnnaBridge 165:e614a9f1c9e2 810 WRP1_Data = (uint16_t)((WriteProtectPage & OB_WRP_PAGES16TO31MASK) >> 8U);
<> 144:ef7eb2e8f9f7 811 #elif defined(OB_WRP_PAGES32TO63MASK)
AnnaBridge 165:e614a9f1c9e2 812 WRP1_Data = (uint16_t)((WriteProtectPage & OB_WRP_PAGES32TO63MASK) >> 8U);
<> 144:ef7eb2e8f9f7 813 #endif /* OB_WRP_PAGES32TO63MASK */
<> 144:ef7eb2e8f9f7 814
<> 144:ef7eb2e8f9f7 815 #if defined(OB_WRP_PAGES64TO95MASK)
AnnaBridge 165:e614a9f1c9e2 816 WRP2_Data = (uint16_t)((WriteProtectPage & OB_WRP_PAGES64TO95MASK) >> 16U);
<> 144:ef7eb2e8f9f7 817 #endif /* OB_WRP_PAGES64TO95MASK */
<> 144:ef7eb2e8f9f7 818 #if defined(OB_WRP_PAGES32TO47MASK)
AnnaBridge 165:e614a9f1c9e2 819 WRP2_Data = (uint16_t)((WriteProtectPage & OB_WRP_PAGES32TO47MASK) >> 16U);
<> 144:ef7eb2e8f9f7 820 #endif /* OB_WRP_PAGES32TO47MASK */
<> 144:ef7eb2e8f9f7 821
<> 144:ef7eb2e8f9f7 822 #if defined(OB_WRP_PAGES96TO127MASK)
AnnaBridge 165:e614a9f1c9e2 823 WRP3_Data = (uint16_t)((WriteProtectPage & OB_WRP_PAGES96TO127MASK) >> 24U);
<> 144:ef7eb2e8f9f7 824 #elif defined(OB_WRP_PAGES48TO255MASK)
AnnaBridge 165:e614a9f1c9e2 825 WRP3_Data = (uint16_t)((WriteProtectPage & OB_WRP_PAGES48TO255MASK) >> 24U);
<> 144:ef7eb2e8f9f7 826 #elif defined(OB_WRP_PAGES48TO511MASK)
AnnaBridge 165:e614a9f1c9e2 827 WRP3_Data = (uint16_t)((WriteProtectPage & OB_WRP_PAGES48TO511MASK) >> 24U);
<> 144:ef7eb2e8f9f7 828 #elif defined(OB_WRP_PAGES48TO127MASK)
AnnaBridge 165:e614a9f1c9e2 829 WRP3_Data = (uint16_t)((WriteProtectPage & OB_WRP_PAGES48TO127MASK) >> 24U);
<> 144:ef7eb2e8f9f7 830 #endif /* OB_WRP_PAGES96TO127MASK */
<> 144:ef7eb2e8f9f7 831
<> 144:ef7eb2e8f9f7 832
<> 144:ef7eb2e8f9f7 833 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 834 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 835
<> 144:ef7eb2e8f9f7 836 if(status == HAL_OK)
<> 144:ef7eb2e8f9f7 837 {
<> 144:ef7eb2e8f9f7 838 /* Clean the error context */
<> 144:ef7eb2e8f9f7 839 pFlash.ErrorCode = HAL_FLASH_ERROR_NONE;
<> 144:ef7eb2e8f9f7 840
<> 144:ef7eb2e8f9f7 841 /* To be able to write again option byte, need to perform a option byte erase */
<> 144:ef7eb2e8f9f7 842 status = HAL_FLASHEx_OBErase();
<> 144:ef7eb2e8f9f7 843 if (status == HAL_OK)
<> 144:ef7eb2e8f9f7 844 {
<> 144:ef7eb2e8f9f7 845 SET_BIT(FLASH->CR, FLASH_CR_OPTPG);
<> 144:ef7eb2e8f9f7 846
<> 144:ef7eb2e8f9f7 847 #if defined(FLASH_WRP0_WRP0)
AnnaBridge 165:e614a9f1c9e2 848 if(WRP0_Data != 0xFFU)
<> 144:ef7eb2e8f9f7 849 {
<> 144:ef7eb2e8f9f7 850 OB->WRP0 |= WRP0_Data;
<> 144:ef7eb2e8f9f7 851
<> 144:ef7eb2e8f9f7 852 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 853 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 854 }
<> 144:ef7eb2e8f9f7 855 #endif /* FLASH_WRP0_WRP0 */
<> 144:ef7eb2e8f9f7 856
<> 144:ef7eb2e8f9f7 857 #if defined(FLASH_WRP1_WRP1)
AnnaBridge 165:e614a9f1c9e2 858 if((status == HAL_OK) && (WRP1_Data != 0xFFU))
<> 144:ef7eb2e8f9f7 859 {
<> 144:ef7eb2e8f9f7 860 OB->WRP1 |= WRP1_Data;
<> 144:ef7eb2e8f9f7 861
<> 144:ef7eb2e8f9f7 862 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 863 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 864 }
<> 144:ef7eb2e8f9f7 865 #endif /* FLASH_WRP1_WRP1 */
<> 144:ef7eb2e8f9f7 866
<> 144:ef7eb2e8f9f7 867 #if defined(FLASH_WRP2_WRP2)
AnnaBridge 165:e614a9f1c9e2 868 if((status == HAL_OK) && (WRP2_Data != 0xFFU))
<> 144:ef7eb2e8f9f7 869 {
<> 144:ef7eb2e8f9f7 870 OB->WRP2 |= WRP2_Data;
<> 144:ef7eb2e8f9f7 871
<> 144:ef7eb2e8f9f7 872 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 873 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 874 }
<> 144:ef7eb2e8f9f7 875 #endif /* FLASH_WRP2_WRP2 */
<> 144:ef7eb2e8f9f7 876
<> 144:ef7eb2e8f9f7 877 #if defined(FLASH_WRP3_WRP3)
AnnaBridge 165:e614a9f1c9e2 878 if((status == HAL_OK) && (WRP3_Data != 0xFFU))
<> 144:ef7eb2e8f9f7 879 {
<> 144:ef7eb2e8f9f7 880 OB->WRP3 |= WRP3_Data;
<> 144:ef7eb2e8f9f7 881
<> 144:ef7eb2e8f9f7 882 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 883 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 884 }
<> 144:ef7eb2e8f9f7 885 #endif /* FLASH_WRP3_WRP3 */
<> 144:ef7eb2e8f9f7 886
<> 144:ef7eb2e8f9f7 887 /* if the program operation is completed, disable the OPTPG Bit */
<> 144:ef7eb2e8f9f7 888 CLEAR_BIT(FLASH->CR, FLASH_CR_OPTPG);
<> 144:ef7eb2e8f9f7 889 }
<> 144:ef7eb2e8f9f7 890 }
<> 144:ef7eb2e8f9f7 891 return status;
<> 144:ef7eb2e8f9f7 892 }
<> 144:ef7eb2e8f9f7 893
<> 144:ef7eb2e8f9f7 894 /**
<> 144:ef7eb2e8f9f7 895 * @brief Set the read protection level.
<> 144:ef7eb2e8f9f7 896 * @param ReadProtectLevel specifies the read protection level.
<> 144:ef7eb2e8f9f7 897 * This parameter can be one of the following values:
<> 144:ef7eb2e8f9f7 898 * @arg @ref OB_RDP_LEVEL_0 No protection
<> 144:ef7eb2e8f9f7 899 * @arg @ref OB_RDP_LEVEL_1 Read protection of the memory
<> 144:ef7eb2e8f9f7 900 * @retval HAL status
<> 144:ef7eb2e8f9f7 901 */
<> 144:ef7eb2e8f9f7 902 static HAL_StatusTypeDef FLASH_OB_RDP_LevelConfig(uint8_t ReadProtectLevel)
<> 144:ef7eb2e8f9f7 903 {
<> 144:ef7eb2e8f9f7 904 HAL_StatusTypeDef status = HAL_OK;
<> 144:ef7eb2e8f9f7 905
<> 144:ef7eb2e8f9f7 906 /* Check the parameters */
<> 144:ef7eb2e8f9f7 907 assert_param(IS_OB_RDP_LEVEL(ReadProtectLevel));
<> 144:ef7eb2e8f9f7 908
<> 144:ef7eb2e8f9f7 909 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 910 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 911
<> 144:ef7eb2e8f9f7 912 if(status == HAL_OK)
<> 144:ef7eb2e8f9f7 913 {
<> 144:ef7eb2e8f9f7 914 /* Clean the error context */
<> 144:ef7eb2e8f9f7 915 pFlash.ErrorCode = HAL_FLASH_ERROR_NONE;
<> 144:ef7eb2e8f9f7 916
<> 144:ef7eb2e8f9f7 917 /* If the previous operation is completed, proceed to erase the option bytes */
<> 144:ef7eb2e8f9f7 918 SET_BIT(FLASH->CR, FLASH_CR_OPTER);
<> 144:ef7eb2e8f9f7 919 SET_BIT(FLASH->CR, FLASH_CR_STRT);
<> 144:ef7eb2e8f9f7 920
<> 144:ef7eb2e8f9f7 921 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 922 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 923
<> 144:ef7eb2e8f9f7 924 /* If the erase operation is completed, disable the OPTER Bit */
<> 144:ef7eb2e8f9f7 925 CLEAR_BIT(FLASH->CR, FLASH_CR_OPTER);
<> 144:ef7eb2e8f9f7 926
<> 144:ef7eb2e8f9f7 927 if(status == HAL_OK)
<> 144:ef7eb2e8f9f7 928 {
<> 144:ef7eb2e8f9f7 929 /* Enable the Option Bytes Programming operation */
<> 144:ef7eb2e8f9f7 930 SET_BIT(FLASH->CR, FLASH_CR_OPTPG);
<> 144:ef7eb2e8f9f7 931
<> 144:ef7eb2e8f9f7 932 WRITE_REG(OB->RDP, ReadProtectLevel);
<> 144:ef7eb2e8f9f7 933
<> 144:ef7eb2e8f9f7 934 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 935 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 936
<> 144:ef7eb2e8f9f7 937 /* if the program operation is completed, disable the OPTPG Bit */
<> 144:ef7eb2e8f9f7 938 CLEAR_BIT(FLASH->CR, FLASH_CR_OPTPG);
<> 144:ef7eb2e8f9f7 939 }
<> 144:ef7eb2e8f9f7 940 }
<> 144:ef7eb2e8f9f7 941
<> 144:ef7eb2e8f9f7 942 return status;
<> 144:ef7eb2e8f9f7 943 }
<> 144:ef7eb2e8f9f7 944
<> 144:ef7eb2e8f9f7 945 /**
<> 144:ef7eb2e8f9f7 946 * @brief Program the FLASH User Option Byte.
<> 144:ef7eb2e8f9f7 947 * @note Programming of the OB should be performed only after an erase (otherwise PGERR occurs)
<> 144:ef7eb2e8f9f7 948 * @param UserConfig The FLASH User Option Bytes values FLASH_OBR_IWDG_SW(Bit2),
<> 144:ef7eb2e8f9f7 949 * FLASH_OBR_nRST_STOP(Bit3),FLASH_OBR_nRST_STDBY(Bit4).
<> 144:ef7eb2e8f9f7 950 * And BFBF2(Bit5) for STM32F101xG and STM32F103xG .
<> 144:ef7eb2e8f9f7 951 * @retval HAL status
<> 144:ef7eb2e8f9f7 952 */
<> 144:ef7eb2e8f9f7 953 static HAL_StatusTypeDef FLASH_OB_UserConfig(uint8_t UserConfig)
<> 144:ef7eb2e8f9f7 954 {
<> 144:ef7eb2e8f9f7 955 HAL_StatusTypeDef status = HAL_OK;
<> 144:ef7eb2e8f9f7 956
<> 144:ef7eb2e8f9f7 957 /* Check the parameters */
<> 144:ef7eb2e8f9f7 958 assert_param(IS_OB_IWDG_SOURCE((UserConfig&OB_IWDG_SW)));
<> 144:ef7eb2e8f9f7 959 assert_param(IS_OB_STOP_SOURCE((UserConfig&OB_STOP_NO_RST)));
<> 144:ef7eb2e8f9f7 960 assert_param(IS_OB_STDBY_SOURCE((UserConfig&OB_STDBY_NO_RST)));
<> 144:ef7eb2e8f9f7 961 #if defined(FLASH_BANK2_END)
<> 144:ef7eb2e8f9f7 962 assert_param(IS_OB_BOOT1((UserConfig&OB_BOOT1_SET)));
<> 144:ef7eb2e8f9f7 963 #endif /* FLASH_BANK2_END */
<> 144:ef7eb2e8f9f7 964
<> 144:ef7eb2e8f9f7 965 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 966 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 967
<> 144:ef7eb2e8f9f7 968 if(status == HAL_OK)
<> 144:ef7eb2e8f9f7 969 {
<> 144:ef7eb2e8f9f7 970 /* Clean the error context */
<> 144:ef7eb2e8f9f7 971 pFlash.ErrorCode = HAL_FLASH_ERROR_NONE;
<> 144:ef7eb2e8f9f7 972
<> 144:ef7eb2e8f9f7 973 /* Enable the Option Bytes Programming operation */
<> 144:ef7eb2e8f9f7 974 SET_BIT(FLASH->CR, FLASH_CR_OPTPG);
<> 144:ef7eb2e8f9f7 975
<> 144:ef7eb2e8f9f7 976 #if defined(FLASH_BANK2_END)
AnnaBridge 165:e614a9f1c9e2 977 OB->USER = (UserConfig | 0xF0U);
<> 144:ef7eb2e8f9f7 978 #else
AnnaBridge 165:e614a9f1c9e2 979 OB->USER = (UserConfig | 0x88U);
<> 144:ef7eb2e8f9f7 980 #endif /* FLASH_BANK2_END */
<> 144:ef7eb2e8f9f7 981
<> 144:ef7eb2e8f9f7 982 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 983 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 984
<> 144:ef7eb2e8f9f7 985 /* if the program operation is completed, disable the OPTPG Bit */
<> 144:ef7eb2e8f9f7 986 CLEAR_BIT(FLASH->CR, FLASH_CR_OPTPG);
<> 144:ef7eb2e8f9f7 987 }
<> 144:ef7eb2e8f9f7 988
<> 144:ef7eb2e8f9f7 989 return status;
<> 144:ef7eb2e8f9f7 990 }
<> 144:ef7eb2e8f9f7 991
<> 144:ef7eb2e8f9f7 992 /**
<> 144:ef7eb2e8f9f7 993 * @brief Programs a half word at a specified Option Byte Data address.
<> 144:ef7eb2e8f9f7 994 * @note The function @ref HAL_FLASH_Unlock() should be called before to unlock the FLASH interface
<> 144:ef7eb2e8f9f7 995 * The function @ref HAL_FLASH_OB_Unlock() should be called before to unlock the options bytes
<> 144:ef7eb2e8f9f7 996 * The function @ref HAL_FLASH_OB_Launch() should be called after to force the reload of the options bytes
<> 144:ef7eb2e8f9f7 997 * (system reset will occur)
<> 144:ef7eb2e8f9f7 998 * Programming of the OB should be performed only after an erase (otherwise PGERR occurs)
<> 144:ef7eb2e8f9f7 999 * @param Address specifies the address to be programmed.
<> 144:ef7eb2e8f9f7 1000 * This parameter can be 0x1FFFF804 or 0x1FFFF806.
<> 144:ef7eb2e8f9f7 1001 * @param Data specifies the data to be programmed.
<> 144:ef7eb2e8f9f7 1002 * @retval HAL status
<> 144:ef7eb2e8f9f7 1003 */
<> 144:ef7eb2e8f9f7 1004 static HAL_StatusTypeDef FLASH_OB_ProgramData(uint32_t Address, uint8_t Data)
<> 144:ef7eb2e8f9f7 1005 {
<> 144:ef7eb2e8f9f7 1006 HAL_StatusTypeDef status = HAL_ERROR;
<> 144:ef7eb2e8f9f7 1007
<> 144:ef7eb2e8f9f7 1008 /* Check the parameters */
<> 144:ef7eb2e8f9f7 1009 assert_param(IS_OB_DATA_ADDRESS(Address));
<> 144:ef7eb2e8f9f7 1010
<> 144:ef7eb2e8f9f7 1011 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 1012 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 1013
<> 144:ef7eb2e8f9f7 1014 if(status == HAL_OK)
<> 144:ef7eb2e8f9f7 1015 {
<> 144:ef7eb2e8f9f7 1016 /* Clean the error context */
<> 144:ef7eb2e8f9f7 1017 pFlash.ErrorCode = HAL_FLASH_ERROR_NONE;
<> 144:ef7eb2e8f9f7 1018
<> 144:ef7eb2e8f9f7 1019 /* Enables the Option Bytes Programming operation */
<> 144:ef7eb2e8f9f7 1020 SET_BIT(FLASH->CR, FLASH_CR_OPTPG);
<> 144:ef7eb2e8f9f7 1021 *(__IO uint16_t*)Address = Data;
<> 144:ef7eb2e8f9f7 1022
<> 144:ef7eb2e8f9f7 1023 /* Wait for last operation to be completed */
<> 144:ef7eb2e8f9f7 1024 status = FLASH_WaitForLastOperation((uint32_t)FLASH_TIMEOUT_VALUE);
<> 144:ef7eb2e8f9f7 1025
<> 144:ef7eb2e8f9f7 1026 /* If the program operation is completed, disable the OPTPG Bit */
<> 144:ef7eb2e8f9f7 1027 CLEAR_BIT(FLASH->CR, FLASH_CR_OPTPG);
<> 144:ef7eb2e8f9f7 1028 }
<> 144:ef7eb2e8f9f7 1029 /* Return the Option Byte Data Program Status */
<> 144:ef7eb2e8f9f7 1030 return status;
<> 144:ef7eb2e8f9f7 1031 }
<> 144:ef7eb2e8f9f7 1032
<> 144:ef7eb2e8f9f7 1033 /**
<> 144:ef7eb2e8f9f7 1034 * @brief Return the FLASH Write Protection Option Bytes value.
<> 144:ef7eb2e8f9f7 1035 * @retval The FLASH Write Protection Option Bytes value
<> 144:ef7eb2e8f9f7 1036 */
<> 144:ef7eb2e8f9f7 1037 static uint32_t FLASH_OB_GetWRP(void)
<> 144:ef7eb2e8f9f7 1038 {
<> 144:ef7eb2e8f9f7 1039 /* Return the FLASH write protection Register value */
<> 144:ef7eb2e8f9f7 1040 return (uint32_t)(READ_REG(FLASH->WRPR));
<> 144:ef7eb2e8f9f7 1041 }
<> 144:ef7eb2e8f9f7 1042
<> 144:ef7eb2e8f9f7 1043 /**
<> 144:ef7eb2e8f9f7 1044 * @brief Returns the FLASH Read Protection level.
AnnaBridge 165:e614a9f1c9e2 1045 * @retval FLASH RDP level
<> 144:ef7eb2e8f9f7 1046 * This parameter can be one of the following values:
<> 144:ef7eb2e8f9f7 1047 * @arg @ref OB_RDP_LEVEL_0 No protection
<> 144:ef7eb2e8f9f7 1048 * @arg @ref OB_RDP_LEVEL_1 Read protection of the memory
<> 144:ef7eb2e8f9f7 1049 */
<> 144:ef7eb2e8f9f7 1050 static uint32_t FLASH_OB_GetRDP(void)
<> 144:ef7eb2e8f9f7 1051 {
<> 144:ef7eb2e8f9f7 1052 uint32_t readstatus = OB_RDP_LEVEL_0;
AnnaBridge 165:e614a9f1c9e2 1053 uint32_t tmp_reg = 0U;
<> 144:ef7eb2e8f9f7 1054
<> 144:ef7eb2e8f9f7 1055 /* Read RDP level bits */
<> 144:ef7eb2e8f9f7 1056 tmp_reg = READ_BIT(FLASH->OBR, FLASH_OBR_RDPRT);
<> 144:ef7eb2e8f9f7 1057
<> 144:ef7eb2e8f9f7 1058 if (tmp_reg == FLASH_OBR_RDPRT)
<> 144:ef7eb2e8f9f7 1059 {
<> 144:ef7eb2e8f9f7 1060 readstatus = OB_RDP_LEVEL_1;
<> 144:ef7eb2e8f9f7 1061 }
<> 144:ef7eb2e8f9f7 1062 else
<> 144:ef7eb2e8f9f7 1063 {
<> 144:ef7eb2e8f9f7 1064 readstatus = OB_RDP_LEVEL_0;
<> 144:ef7eb2e8f9f7 1065 }
<> 144:ef7eb2e8f9f7 1066
<> 144:ef7eb2e8f9f7 1067 return readstatus;
<> 144:ef7eb2e8f9f7 1068 }
<> 144:ef7eb2e8f9f7 1069
<> 144:ef7eb2e8f9f7 1070 /**
<> 144:ef7eb2e8f9f7 1071 * @brief Return the FLASH User Option Byte value.
<> 144:ef7eb2e8f9f7 1072 * @retval The FLASH User Option Bytes values: FLASH_OBR_IWDG_SW(Bit2),
<> 144:ef7eb2e8f9f7 1073 * FLASH_OBR_nRST_STOP(Bit3),FLASH_OBR_nRST_STDBY(Bit4).
<> 144:ef7eb2e8f9f7 1074 * And FLASH_OBR_BFB2(Bit5) for STM32F101xG and STM32F103xG .
<> 144:ef7eb2e8f9f7 1075 */
<> 144:ef7eb2e8f9f7 1076 static uint8_t FLASH_OB_GetUser(void)
<> 144:ef7eb2e8f9f7 1077 {
<> 144:ef7eb2e8f9f7 1078 /* Return the User Option Byte */
<> 144:ef7eb2e8f9f7 1079 return (uint8_t)((READ_REG(FLASH->OBR) & FLASH_OBR_USER) >> FLASH_POSITION_IWDGSW_BIT);
<> 144:ef7eb2e8f9f7 1080 }
<> 144:ef7eb2e8f9f7 1081
<> 144:ef7eb2e8f9f7 1082 /**
<> 144:ef7eb2e8f9f7 1083 * @}
<> 144:ef7eb2e8f9f7 1084 */
<> 144:ef7eb2e8f9f7 1085
<> 144:ef7eb2e8f9f7 1086 /**
<> 144:ef7eb2e8f9f7 1087 * @}
<> 144:ef7eb2e8f9f7 1088 */
<> 144:ef7eb2e8f9f7 1089
<> 144:ef7eb2e8f9f7 1090 /** @addtogroup FLASH
<> 144:ef7eb2e8f9f7 1091 * @{
<> 144:ef7eb2e8f9f7 1092 */
<> 144:ef7eb2e8f9f7 1093
<> 144:ef7eb2e8f9f7 1094 /** @addtogroup FLASH_Private_Functions
<> 144:ef7eb2e8f9f7 1095 * @{
<> 144:ef7eb2e8f9f7 1096 */
<> 144:ef7eb2e8f9f7 1097
<> 144:ef7eb2e8f9f7 1098 /**
<> 144:ef7eb2e8f9f7 1099 * @brief Erase the specified FLASH memory page
<> 144:ef7eb2e8f9f7 1100 * @param PageAddress FLASH page to erase
<> 144:ef7eb2e8f9f7 1101 * The value of this parameter depend on device used within the same series
<> 144:ef7eb2e8f9f7 1102 *
<> 144:ef7eb2e8f9f7 1103 * @retval None
<> 144:ef7eb2e8f9f7 1104 */
<> 144:ef7eb2e8f9f7 1105 void FLASH_PageErase(uint32_t PageAddress)
<> 144:ef7eb2e8f9f7 1106 {
<> 144:ef7eb2e8f9f7 1107 /* Clean the error context */
<> 144:ef7eb2e8f9f7 1108 pFlash.ErrorCode = HAL_FLASH_ERROR_NONE;
<> 144:ef7eb2e8f9f7 1109
<> 144:ef7eb2e8f9f7 1110 #if defined(FLASH_BANK2_END)
<> 144:ef7eb2e8f9f7 1111 if(PageAddress > FLASH_BANK1_END)
<> 144:ef7eb2e8f9f7 1112 {
<> 144:ef7eb2e8f9f7 1113 /* Proceed to erase the page */
<> 144:ef7eb2e8f9f7 1114 SET_BIT(FLASH->CR2, FLASH_CR2_PER);
<> 144:ef7eb2e8f9f7 1115 WRITE_REG(FLASH->AR2, PageAddress);
<> 144:ef7eb2e8f9f7 1116 SET_BIT(FLASH->CR2, FLASH_CR2_STRT);
<> 144:ef7eb2e8f9f7 1117 }
<> 144:ef7eb2e8f9f7 1118 else
<> 144:ef7eb2e8f9f7 1119 {
<> 144:ef7eb2e8f9f7 1120 #endif /* FLASH_BANK2_END */
<> 144:ef7eb2e8f9f7 1121 /* Proceed to erase the page */
<> 144:ef7eb2e8f9f7 1122 SET_BIT(FLASH->CR, FLASH_CR_PER);
<> 144:ef7eb2e8f9f7 1123 WRITE_REG(FLASH->AR, PageAddress);
<> 144:ef7eb2e8f9f7 1124 SET_BIT(FLASH->CR, FLASH_CR_STRT);
<> 144:ef7eb2e8f9f7 1125 #if defined(FLASH_BANK2_END)
<> 144:ef7eb2e8f9f7 1126 }
<> 144:ef7eb2e8f9f7 1127 #endif /* FLASH_BANK2_END */
<> 144:ef7eb2e8f9f7 1128 }
<> 144:ef7eb2e8f9f7 1129
<> 144:ef7eb2e8f9f7 1130 /**
<> 144:ef7eb2e8f9f7 1131 * @}
<> 144:ef7eb2e8f9f7 1132 */
<> 144:ef7eb2e8f9f7 1133
<> 144:ef7eb2e8f9f7 1134 /**
<> 144:ef7eb2e8f9f7 1135 * @}
<> 144:ef7eb2e8f9f7 1136 */
<> 144:ef7eb2e8f9f7 1137
<> 144:ef7eb2e8f9f7 1138 #endif /* HAL_FLASH_MODULE_ENABLED */
<> 144:ef7eb2e8f9f7 1139 /**
<> 144:ef7eb2e8f9f7 1140 * @}
<> 144:ef7eb2e8f9f7 1141 */
<> 144:ef7eb2e8f9f7 1142
<> 144:ef7eb2e8f9f7 1143 /************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/