pawel gilk

Public Repositories (2)

  • motor1_2

    motor porgram

    Last updated: 24 Oct 2014 2 1
  • Motor

    Control a DC motor via a standard H-bridge using a PwmOut pin to control speed and two DigitalOut pins to control direction. Can change pwm period on the PwmOut pin, …

    digitalOut, H-bridge, library, motor, PwmOut
    Last updated: 24 Oct 2014 3 3