123

Dependencies:   mbed

Fork of LG by igor Apu

Revision:
22:12e6183f04d4
diff -r bc8c1cec3da6 -r 12e6183f04d4 host/List/LandTiger_DMA.map
--- /dev/null	Thu Jan 01 00:00:00 1970 +0000
+++ b/host/List/LandTiger_DMA.map	Wed Feb 03 10:44:42 2016 +0300
@@ -0,0 +1,1917 @@
+Component: ARM Compiler 5.05 update 2 (build 169) Tool: armlink [4d0f33]
+
+==============================================================================
+
+Section Cross References
+
+    startup_lpc17xx.o(STACK) refers (Special) to heapauxi.o(.text) for __use_two_region_memory
+    startup_lpc17xx.o(HEAP) refers (Special) to heapauxi.o(.text) for __use_two_region_memory
+    startup_lpc17xx.o(RESET) refers (Special) to heapauxi.o(.text) for __use_two_region_memory
+    startup_lpc17xx.o(RESET) refers to startup_lpc17xx.o(STACK) for __initial_sp
+    startup_lpc17xx.o(RESET) refers to startup_lpc17xx.o(.text) for Reset_Handler
+    startup_lpc17xx.o(RESET) refers to cyclessync.o(.text) for TIMER0_IRQHandler
+    startup_lpc17xx.o(RESET) refers to mtimer.o(.text) for TIMER1_IRQHandler
+    startup_lpc17xx.o(.text) refers (Special) to heapauxi.o(.text) for __use_two_region_memory
+    startup_lpc17xx.o(.text) refers to system_lpc17xx.o(.text) for SystemInit
+    startup_lpc17xx.o(.text) refers to __main.o(!!!main) for __main
+    startup_lpc17xx.o(.text) refers to startup_lpc17xx.o(HEAP) for Heap_Mem
+    startup_lpc17xx.o(.text) refers to startup_lpc17xx.o(STACK) for Stack_Mem
+    system_lpc17xx.o(.text) refers to system_lpc17xx.o(.data) for SystemCoreClock
+    vibro.o(.text) refers to rand.o(.text) for srand
+    vibro.o(.text) refers to rand.o(.emb_text) for rand
+    vibro.o(.text) refers to global.o(.text) for VibroPush
+    vibro.o(.text) refers to vibro.o(.bss) for Main
+    vibro.o(.text) refers to mtimer.o(.data) for CountV31
+    vibro.o(.text) refers to global.o(.data) for Time_vibro
+    vibro.o(.text) refers to vibro.o(.data) for PeriodCount
+    vibro.o(.text) refers to qei.o(.bss) for Buff_Restored_sin
+    vibro.o(.text) refers to inputoutput.o(.bss) for Output
+    cyclessync.o(.text) refers to el_lin.o(.text) for UART_SwitchSpeed
+    cyclessync.o(.text) refers to cyclessync.o(.data) for LatchPhase
+    cyclessync.o(.text) refers to cntrlgld.o(.data) for RgConB
+    cyclessync.o(.text) refers to sip.o(.data) for Cnt_curr
+    commandset.o(.text) refers to el_lin.o(.text) for UART_SwitchSpeed
+    commandset.o(.text) refers to el_lin.o(.bss) for rcv_buf
+    commandset.o(.text) refers to cyclessync.o(.data) for trm_cycl
+    commandset.o(.text) refers to cntrlgld.o(.data) for SRgR
+    commandset.o(.text) refers to el_lin.o(.data) for trm_rate
+    commandset.o(.text) refers to inputoutput.o(.bss) for Output
+    commandset.o(.text) refers to commandset.o(.data) for index
+    commandset.o(.text) refers to dither_reg.o(.text) for VibroDither_Set
+    commandset.o(.text) refers to inputoutput.o(.text) for Out_G_photo
+    commandset.o(.text) refers to cyclessync.o(.text) for SwitchRefMeandInt
+    commandset.o(.text) refers to parameters.o(.text) for LoadFlashParam
+    commandset.o(.text) refers to dither_reg.o(.data) for In_Flag
+    commandset.o(.text) refers to cntrlgld.o(.bss) for Device_blk
+    commandset.o(.text) refers to rt_memcpy_v6.o(.text) for __aeabi_memcpy
+    commandset.o(.data) refers to inputoutput.o(.bss) for Output
+    dither_reg.o(.text) refers to mathdsp.o(.text) for DUP_Filt
+    dither_reg.o(.text) refers to rand.o(.text) for srand
+    dither_reg.o(.text) refers to rand.o(.emb_text) for rand
+    dither_reg.o(.text) refers to inputoutput.o(.bss) for Output
+    dither_reg.o(.text) refers to cntrlgld.o(.bss) for Device_blk
+    dither_reg.o(.text) refers to dither_reg.o(.data) for neg_counter
+    dither_reg.o(.text) refers to sip.o(.data) for Dif_Curr_Vib
+    dither_reg.o(.text) refers to mathdsp.o(.data) for Vibro_2_CountIn
+    dither_reg.o(.text) refers to cyclessync.o(.data) for time_1_Sec
+    dither_reg.o(.text) refers to cntrlgld.o(.data) for RgConA
+    dither_reg.o(.text) refers to dither_reg.o(.data__ZZ20_arm_global_overflowE1v) for _arm_global_overflow::v
+    dither_reg.o(.text) refers to fflt_clz.o(x$fpl$ffltu) for __aeabi_ui2f
+    dither_reg.o(.text) refers to f2d.o(x$fpl$f2d) for __aeabi_f2d
+    dither_reg.o(.text) refers to ddiv.o(x$fpl$ddiv) for __aeabi_ddiv
+    dither_reg.o(.text) refers to cyclessync.o(.text) for CounterIquiryCycle_Init
+    el_lin.o(.text) refers to el_lin.o(.bss) for rcv_buf
+    el_lin.o(.text) refers to el_lin.o(.data) for rcv_num_byt
+    el_lin.o(.text) refers to cntrlgld.o(.bss) for Device_blk
+    el_lin.o(.text) refers to cntrlgld.o(.data) for Device_Mode
+    el_lin.o(.text) refers to system_lpc17xx.o(.data) for SystemCoreClock
+    main.o(.text) refers to system_lpc17xx.o(.text) for SystemInit
+    main.o(.text) refers to el_lin.o(.text) for DMA_Init
+    main.o(.text) refers to parameters.o(.text) for FlashDMA_Init
+    main.o(.text) refers to inputoutput.o(.text) for DAC_ADC_Exchange_Init
+    main.o(.text) refers to sip.o(.text) for SOI_Init
+    main.o(.text) refers to cyclessync.o(.text) for IntLatch_Init
+    main.o(.text) refers to plc_reg.o(.text) for init_PLC
+    main.o(.text) refers to dither_reg.o(.text) for init_Dither_reg
+    main.o(.text) refers to vibro.o(.text) for init_Vibro
+    main.o(.text) refers to mtimer.o(.text) for init_timer
+    main.o(.text) refers to console.o(.text) for SendToBuffStr
+    main.o(.text) refers to uart_m.o(.text) for WriteCon
+    main.o(.text) refers to command.o(.text) for Read_CMD
+    main.o(.text) refers to global.o(.text) for ButtonLightUP
+    main.o(.text) refers to thermocalc.o(.text) for clc_ThermoSensors
+    main.o(.text) refers to hfo_reg.o(.text) for clc_HFO
+    main.o(.text) refers to cntrlgld.o(.text) for contrl_GLD
+    main.o(.text) refers to commandset.o(.text) for decode_CMD
+    main.o(.text) refers to main.o(.data) for flag
+    main.o(.text) refers to vibro.o(.bss) for Main
+    main.o(.text) refers to qei.o(.data) for Pulse_midl
+    main.o(.text) refers to spi.o(.bss) for Spi
+    main.o(.text) refers to cntrlgld.o(.data) for RgConA
+    main.o(.text) refers to cntrlgld.o(.bss) for Device_blk
+    main.o(.text) refers to inputoutput.o(.bss) for Output
+    main.o(.text) refers to spi.o(.data) for Temp_AMP
+    main.o(.text) refers to qei.o(.bss) for Buff_16Point
+    main.o(.text) refers to uart_m.o(.data) for OutBufConCount
+    main.o(.text) refers to global.o(.data) for Time_1kHz
+    main.o(.text) refers to command.o(.data) for Rate_Flag
+    main.o(.text) refers to sip.o(.data) for Curr_Cnt_Vib
+    plc_reg.o(.text) refers to fflt_clz.o(x$fpl$fflt) for __aeabi_i2f
+    plc_reg.o(.text) refers to f2d.o(x$fpl$f2d) for __aeabi_f2d
+    plc_reg.o(.text) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul
+    plc_reg.o(.text) refers to ddiv.o(x$fpl$ddiv) for __aeabi_ddiv
+    plc_reg.o(.text) refers to sin.o(i.sin) for sin
+    plc_reg.o(.text) refers to d2f.o(x$fpl$d2f) for __aeabi_d2f
+    plc_reg.o(.text) refers to fmul.o(x$fpl$fmul) for __aeabi_fmul
+    plc_reg.o(.text) refers to ffix.o(x$fpl$ffix) for __aeabi_f2iz
+    plc_reg.o(.text) refers to mathdsp.o(.text) for init_BandPass
+    plc_reg.o(.text) refers to cntrlgld.o(.bss) for Device_blk
+    plc_reg.o(.text) refers to inputoutput.o(.bss) for Output
+    plc_reg.o(.text) refers to plc_reg.o(.data) for WP_reg32
+    plc_reg.o(.text) refers to plc_reg.o(.bss) for sin_func
+    plc_reg.o(.text) refers to thermocalc.o(.data) for IsHeating
+    plc_reg.o(.text) refers to dither_reg.o(.data__ZZ20_arm_global_overflowE1v) for _arm_global_overflow::v
+    plc_reg.o(.text) refers to inputoutput.o(.text) for DAC_Output
+    plc_reg.o(.text) refers to cntrlgld.o(.data) for RgConA
+    plc_reg.o(.text) refers to cyclessync.o(.data) for time_1_Sec
+    hfo_reg.o(.text) refers to mathdsp.o(.text) for HFO_MovAverFilt
+    hfo_reg.o(.text) refers to inputoutput.o(.bss) for Input
+    hfo_reg.o(.text) refers to cntrlgld.o(.bss) for Device_blk
+    hfo_reg.o(.text) refers to dither_reg.o(.data__ZZ20_arm_global_overflowE1v) for _arm_global_overflow::v
+    hfo_reg.o(.text) refers to cntrlgld.o(.data) for RgConA
+    hfo_reg.o(.text) refers to hfo_reg.o(.data) for hf_reg
+    thermocalc.o(.text) refers to fflt_clz.o(x$fpl$fflt) for __aeabi_i2f
+    thermocalc.o(.text) refers to fmul.o(x$fpl$fmul) for __aeabi_fmul
+    thermocalc.o(.text) refers to faddsub_clz.o(x$fpl$frsb) for __aeabi_frsub
+    thermocalc.o(.text) refers to ffix.o(x$fpl$ffix) for __aeabi_f2iz
+    thermocalc.o(.text) refers to cntrlgld.o(.bss) for Device_blk
+    thermocalc.o(.text) refers to thermocalc.o(.bss) for dFuncPer_dTermo
+    thermocalc.o(.text) refers to thermocalc.o(.data) for Temp_Aver
+    thermocalc.o(.text) refers to inputoutput.o(.bss) for Input
+    thermocalc.o(.text) refers to cyclessync.o(.data) for time_1_Sec
+    thermocalc.o(.text) refers to dither_reg.o(.data__ZZ20_arm_global_overflowE1v) for _arm_global_overflow::v
+    thermocalc.o(.text) refers to plc_reg.o(.data) for WP_reset_heating
+    thermocalc.o(.text) refers to cntrlgld.o(.data) for Valid_Data
+    thermocalc.o(.text) refers to thermocalc.o(i.Max_Saturation) for Max_Saturation
+    thermocalc.o(.text) refers to dither_reg.o(.data) for VB_Nmin0
+    cntrlgld.o(.text) refers to cyclessync.o(.text) for SwitchMode
+    cntrlgld.o(.text) refers to commandset.o(.text) for GLD_Output
+    cntrlgld.o(.text) refers to cntrlgld.o(.data) for stop_Rq
+    cntrlgld.o(.text) refers to el_lin.o(.data) for trm_ena
+    cntrlgld.o(.text) refers to sip.o(.data) for Dif_Curr_Vib
+    cntrlgld.o(.text) refers to dither_reg.o(.data__ZZ20_arm_global_overflowE1v) for _arm_global_overflow::v
+    cntrlgld.o(.data) refers to cntrlgld.o(.text) for Sts_Pulse
+    mathdsp.o(.text) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul
+    mathdsp.o(.text) refers to daddsub_clz.o(x$fpl$drsb) for __aeabi_drsub
+    mathdsp.o(.text) refers to cos.o(i.cos) for cos
+    mathdsp.o(.text) refers to daddsub_clz.o(x$fpl$dsub) for __aeabi_dsub
+    mathdsp.o(.text) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd
+    mathdsp.o(.text) refers to ddiv.o(x$fpl$ddiv) for __aeabi_ddiv
+    mathdsp.o(.text) refers to dfix.o(x$fpl$dfix) for __aeabi_d2iz
+    mathdsp.o(.text) refers to cntrlgld.o(.bss) for Device_blk
+    mathdsp.o(.text) refers to mathdsp.o(.data) for Vibro_Filter_Aperture
+    mathdsp.o(.text) refers to dither_reg.o(.data__ZZ20_arm_global_overflowE1v) for _arm_global_overflow::v
+    mathdsp.o(.text) refers to mathdsp.o(.bss) for BufInMovAverPls
+    inputoutput.o(.text) refers to inputoutput.o(.data) for I2CMasterState
+    inputoutput.o(.text) refers to inputoutput.o(.bss) for I2CMasterBuffer
+    inputoutput.o(.text) refers to cyclessync.o(.data) for Sys_Clock
+    sip.o(.text) refers to llsdiv.o(.text) for __aeabi_ldivmod
+    sip.o(.text) refers to mathdsp.o(.text) for VibroReduce
+    sip.o(.text) refers to cyclessync.o(.data) for PrevPeriod
+    sip.o(.text) refers to sip.o(.data) for temp22
+    sip.o(.text) refers to cntrlgld.o(.data) for RgConB
+    sip.o(.text) refers to inputoutput.o(.bss) for Output
+    sip.o(.text) refers to thermocalc.o(.data) for TermoCompens_Sum
+    parameters.o(.text) refers to cntrlgld.o(.bss) for Device_blk
+    parameters.o(.text) refers to commandset.o(.data) for blt_in_test
+    console.o(.text) refers to console.o(.data) for InputIndexBO
+    console.o(.text) refers to console.o(.bss) for BuffOut
+    qei.o(.text) refers to qei.o(.data) for Dif_QEI
+    qei.o(.text) refers to qei.o(.bss) for Buff_1Point
+    qei.o(.text) refers to mtimer.o(.data) for CountV255
+    qei.o(.text) refers to vibro.o(.bss) for Main
+    global.o(.text) refers to global.o(.data) for VibroReg
+    global.o(.text) refers to main.o(.data) for secPuls
+    global.o(.text) refers to cntrlgld.o(.data) for pulse_Rq
+    mtimer.o(.text) refers to qei.o(.text) for D_QEI
+    mtimer.o(.text) refers to vibro.o(.text) for cheng
+    mtimer.o(.text) refers to spi.o(.text) for SPI_Exchange
+    mtimer.o(.text) refers to mtimer.o(.data) for CountV255
+    mtimer.o(.text) refers to qei.o(.bss) for Buff_32Point
+    mtimer.o(.text) refers to qei.o(.data) for CuruAngle
+    mtimer.o(.text) refers to global.o(.data) for Global_Time
+    spi.o(.text) refers to spi.o(.bss) for Spi
+    spi.o(.text) refers to inputoutput.o(.bss) for Input
+    spi.o(.text) refers to mtimer.o(.data) for CountV31
+    spi.o(.text) refers to spi.o(.data) for SinPLC
+    command.o(.text) refers to uart_m.o(.text) for WriteConN
+    command.o(.text) refers to vibro.o(.bss) for Main
+    command.o(.text) refers to global.o(.bss) for BuffTemp
+    command.o(.text) refers to spi.o(.bss) for Spi
+    command.o(.text) refers to command.o(.data) for Param1
+    command.o(.text) refers to fflt_clz.o(x$fpl$fflt) for __aeabi_i2f
+    command.o(.text) refers to fmul.o(x$fpl$fmul) for __aeabi_fmul
+    command.o(.text) refers to f2d.o(x$fpl$f2d) for __aeabi_f2d
+    command.o(.text) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd
+    command.o(.text) refers to d2f.o(x$fpl$d2f) for __aeabi_d2f
+    command.o(.text) refers to ffixu.o(x$fpl$ffixu) for __aeabi_f2uiz
+    command.o(.text) refers to main.o(.data) for K_DAC
+    uart_m.o(.text) refers to uart_m.o(.data) for UART0_BPS
+    uart_m.o(.text) refers to uart_m.o(.bss) for OutCon
+    llsdiv.o(.text) refers to lludivv7m.o(.text) for __aeabi_uldivmod
+    rand.o(.emb_text) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000000D) for __rt_lib_init_rand_2
+    rand.o(.emb_text) refers to rand.o(.text) for _rand_init
+    rand.o(.emb_text) refers to rand.o(.bss) for _random_number_data
+    rand.o(.text) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000000D) for __rt_lib_init_rand_2
+    rand.o(.text) refers to rand.o(.bss) for .bss
+    rand.o(.bss) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000000D) for __rt_lib_init_rand_2
+    rt_memcpy_v6.o(.text) refers to rt_memcpy_w.o(.text) for __aeabi_memcpy4
+    __main.o(!!!main) refers to __rtentry.o(.ARM.Collect$$rtentry$$00000000) for __rt_entry
+    d2f.o(x$fpl$d2f) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    d2f.o(x$fpl$d2f) refers to fretinf.o(x$fpl$fretinf) for __fpl_fretinf
+    d2f.o(x$fpl$d2f) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf
+    daddsub_clz.o(x$fpl$dadd) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    daddsub_clz.o(x$fpl$dadd) refers to daddsub_clz.o(x$fpl$dsub) for _dsub1
+    daddsub_clz.o(x$fpl$dadd) refers to dretinf.o(x$fpl$dretinf) for __fpl_dretinf
+    daddsub_clz.o(x$fpl$dadd) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf
+    daddsub_clz.o(x$fpl$drsb) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    daddsub_clz.o(x$fpl$drsb) refers to daddsub_clz.o(x$fpl$dadd) for _dadd1
+    daddsub_clz.o(x$fpl$drsb) refers to daddsub_clz.o(x$fpl$dsub) for _dsub1
+    daddsub_clz.o(x$fpl$dsub) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    daddsub_clz.o(x$fpl$dsub) refers to daddsub_clz.o(x$fpl$dadd) for _dadd1
+    daddsub_clz.o(x$fpl$dsub) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf
+    ddiv.o(x$fpl$drdiv) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    ddiv.o(x$fpl$drdiv) refers to ddiv.o(x$fpl$ddiv) for ddiv_entry
+    ddiv.o(x$fpl$ddiv) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    ddiv.o(x$fpl$ddiv) refers to dretinf.o(x$fpl$dretinf) for __fpl_dretinf
+    ddiv.o(x$fpl$ddiv) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf
+    dfix.o(x$fpl$dfix) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    dfix.o(x$fpl$dfix) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf
+    dfix.o(x$fpl$dfixr) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    dfix.o(x$fpl$dfixr) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf
+    dmul.o(x$fpl$dmul) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    dmul.o(x$fpl$dmul) refers to dretinf.o(x$fpl$dretinf) for __fpl_dretinf
+    dmul.o(x$fpl$dmul) refers to dnaninf.o(x$fpl$dnaninf) for __fpl_dnaninf
+    f2d.o(x$fpl$f2d) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    f2d.o(x$fpl$f2d) refers to fnaninf.o(x$fpl$fnaninf) for __fpl_fnaninf
+    f2d.o(x$fpl$f2d) refers to dretinf.o(x$fpl$dretinf) for __fpl_dretinf
+    faddsub_clz.o(x$fpl$fadd) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    faddsub_clz.o(x$fpl$fadd) refers to faddsub_clz.o(x$fpl$fsub) for _fsub1
+    faddsub_clz.o(x$fpl$fadd) refers to fretinf.o(x$fpl$fretinf) for __fpl_fretinf
+    faddsub_clz.o(x$fpl$fadd) refers to fnaninf.o(x$fpl$fnaninf) for __fpl_fnaninf
+    faddsub_clz.o(x$fpl$frsb) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    faddsub_clz.o(x$fpl$frsb) refers to faddsub_clz.o(x$fpl$fadd) for _fadd1
+    faddsub_clz.o(x$fpl$frsb) refers to faddsub_clz.o(x$fpl$fsub) for _fsub1
+    faddsub_clz.o(x$fpl$fsub) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    faddsub_clz.o(x$fpl$fsub) refers to faddsub_clz.o(x$fpl$fadd) for _fadd1
+    faddsub_clz.o(x$fpl$fsub) refers to fnaninf.o(x$fpl$fnaninf) for __fpl_fnaninf
+    ffix.o(x$fpl$ffix) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    ffix.o(x$fpl$ffix) refers to fnaninf.o(x$fpl$fnaninf) for __fpl_fnaninf
+    ffix.o(x$fpl$ffixr) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    ffix.o(x$fpl$ffixr) refers to fnaninf.o(x$fpl$fnaninf) for __fpl_fnaninf
+    ffixu.o(x$fpl$ffixu) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    ffixu.o(x$fpl$ffixu) refers to fnaninf.o(x$fpl$fnaninf) for __fpl_fnaninf
+    ffixu.o(x$fpl$ffixur) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    ffixu.o(x$fpl$ffixur) refers to fnaninf.o(x$fpl$fnaninf) for __fpl_fnaninf
+    fflt_clz.o(x$fpl$ffltu) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    fflt_clz.o(x$fpl$fflt) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    fflt_clz.o(x$fpl$ffltn) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    fmul.o(x$fpl$fmul) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    fmul.o(x$fpl$fmul) refers to fretinf.o(x$fpl$fretinf) for __fpl_fretinf
+    fmul.o(x$fpl$fmul) refers to fnaninf.o(x$fpl$fnaninf) for __fpl_fnaninf
+    cos.o(i.__softfp_cos) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    cos.o(i.__softfp_cos) refers to cos.o(i.cos) for cos
+    cos.o(i.cos) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    cos.o(i.cos) refers to _rserrno.o(.text) for __set_errno
+    cos.o(i.cos) refers to dunder.o(i.__mathlib_dbl_invalid) for __mathlib_dbl_invalid
+    cos.o(i.cos) refers to dunder.o(i.__mathlib_dbl_infnan) for __mathlib_dbl_infnan
+    cos.o(i.cos) refers to rred.o(i.__ieee754_rem_pio2) for __ieee754_rem_pio2
+    cos.o(i.cos) refers to sin_i.o(i.__kernel_sin) for __kernel_sin
+    cos.o(i.cos) refers to cos_i.o(i.__kernel_cos) for __kernel_cos
+    cos_x.o(i.____softfp_cos$lsc) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    cos_x.o(i.____softfp_cos$lsc) refers to cos_x.o(i.__cos$lsc) for __cos$lsc
+    cos_x.o(i.__cos$lsc) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    cos_x.o(i.__cos$lsc) refers to _rserrno.o(.text) for __set_errno
+    cos_x.o(i.__cos$lsc) refers to dunder.o(i.__mathlib_dbl_infnan) for __mathlib_dbl_infnan
+    cos_x.o(i.__cos$lsc) refers to rred.o(i.__ieee754_rem_pio2) for __ieee754_rem_pio2
+    cos_x.o(i.__cos$lsc) refers to sin_i.o(i.__kernel_sin) for __kernel_sin
+    cos_x.o(i.__cos$lsc) refers to cos_i.o(i.__kernel_cos) for __kernel_cos
+    sin.o(i.__softfp_sin) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    sin.o(i.__softfp_sin) refers to sin.o(i.sin) for sin
+    sin.o(i.sin) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    sin.o(i.sin) refers to _rserrno.o(.text) for __set_errno
+    sin.o(i.sin) refers to dunder.o(i.__mathlib_dbl_invalid) for __mathlib_dbl_invalid
+    sin.o(i.sin) refers to dunder.o(i.__mathlib_dbl_infnan) for __mathlib_dbl_infnan
+    sin.o(i.sin) refers to rred.o(i.__ieee754_rem_pio2) for __ieee754_rem_pio2
+    sin.o(i.sin) refers to cos_i.o(i.__kernel_cos) for __kernel_cos
+    sin.o(i.sin) refers to sin_i.o(i.__kernel_sin) for __kernel_sin
+    sin_x.o(i.____softfp_sin$lsc) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    sin_x.o(i.____softfp_sin$lsc) refers to sin_x.o(i.__sin$lsc) for __sin$lsc
+    sin_x.o(i.__sin$lsc) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    sin_x.o(i.__sin$lsc) refers to _rserrno.o(.text) for __set_errno
+    sin_x.o(i.__sin$lsc) refers to dunder.o(i.__mathlib_dbl_infnan) for __mathlib_dbl_infnan
+    sin_x.o(i.__sin$lsc) refers to rred.o(i.__ieee754_rem_pio2) for __ieee754_rem_pio2
+    sin_x.o(i.__sin$lsc) refers to cos_i.o(i.__kernel_cos) for __kernel_cos
+    sin_x.o(i.__sin$lsc) refers to sin_i.o(i.__kernel_sin) for __kernel_sin
+    __rtentry.o(.ARM.Collect$$rtentry$$00000000) refers (Special) to __rtentry2.o(.ARM.Collect$$rtentry$$0000000A) for __rt_entry_li
+    __rtentry.o(.ARM.Collect$$rtentry$$00000000) refers (Special) to __rtentry2.o(.ARM.Collect$$rtentry$$0000000D) for __rt_entry_main
+    __rtentry.o(.ARM.Collect$$rtentry$$00000000) refers (Special) to __rtentry2.o(.ARM.Collect$$rtentry$$0000000C) for __rt_entry_postli_1
+    __rtentry.o(.ARM.Collect$$rtentry$$00000000) refers (Special) to __rtentry2.o(.ARM.Collect$$rtentry$$00000009) for __rt_entry_postsh_1
+    __rtentry.o(.ARM.Collect$$rtentry$$00000000) refers (Special) to __rtentry2.o(.ARM.Collect$$rtentry$$00000002) for __rt_entry_presh_1
+    __rtentry.o(.ARM.Collect$$rtentry$$00000000) refers (Special) to __rtentry4.o(.ARM.Collect$$rtentry$$00000004) for __rt_entry_sh
+    _rserrno.o(.text) refers to rt_errno_addr_intlibspace.o(.text) for __aeabi_errno_addr
+    libinit2.o(.ARM.Collect$$libinit$$0000000D) refers (Weak) to rand.o(.text) for _rand_init
+    libinit2.o(.ARM.Collect$$libinit$$00000010) refers to libinit2.o(.ARM.Collect$$libinit$$0000000F) for .ARM.Collect$$libinit$$0000000F
+    libinit2.o(.ARM.Collect$$libinit$$00000012) refers to libinit2.o(.ARM.Collect$$libinit$$0000000F) for .ARM.Collect$$libinit$$0000000F
+    libinit2.o(.ARM.Collect$$libinit$$00000014) refers to libinit2.o(.ARM.Collect$$libinit$$0000000F) for .ARM.Collect$$libinit$$0000000F
+    libinit2.o(.ARM.Collect$$libinit$$00000016) refers to libinit2.o(.ARM.Collect$$libinit$$0000000F) for .ARM.Collect$$libinit$$0000000F
+    libinit2.o(.ARM.Collect$$libinit$$00000018) refers to libinit2.o(.ARM.Collect$$libinit$$0000000F) for .ARM.Collect$$libinit$$0000000F
+    libinit2.o(.ARM.Collect$$libinit$$00000026) refers to argv_veneer.o(.emb_text) for __ARM_argv_veneer
+    libinit2.o(.ARM.Collect$$libinit$$00000027) refers to argv_veneer.o(.emb_text) for __ARM_argv_veneer
+    dnaninf.o(x$fpl$dnaninf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    dretinf.o(x$fpl$dretinf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    fnaninf.o(x$fpl$fnaninf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    fretinf.o(x$fpl$fretinf) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    cos_i.o(i.__kernel_cos) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    cos_i.o(i.__kernel_cos) refers to dfix.o(x$fpl$dfix) for __aeabi_d2iz
+    cos_i.o(i.__kernel_cos) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul
+    cos_i.o(i.__kernel_cos) refers to poly.o(i.__kernel_poly) for __kernel_poly
+    cos_i.o(i.__kernel_cos) refers to daddsub_clz.o(x$fpl$dsub) for __aeabi_dsub
+    cos_i.o(i.__kernel_cos) refers to scalbn.o(x$fpl$scalbn) for __ARM_scalbn
+    cos_i.o(i.__kernel_cos) refers to daddsub_clz.o(x$fpl$drsb) for __aeabi_drsub
+    cos_i.o(i.__kernel_cos) refers to cos_i.o(.constdata) for .constdata
+    cos_i.o(.constdata) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    dunder.o(i.__mathlib_dbl_divzero) refers to ddiv.o(x$fpl$ddiv) for __aeabi_ddiv
+    dunder.o(i.__mathlib_dbl_infnan) refers to scalbn.o(x$fpl$scalbn) for __ARM_scalbn
+    dunder.o(i.__mathlib_dbl_infnan2) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd
+    dunder.o(i.__mathlib_dbl_invalid) refers to ddiv.o(x$fpl$ddiv) for __aeabi_ddiv
+    dunder.o(i.__mathlib_dbl_overflow) refers to scalbn.o(x$fpl$scalbn) for __ARM_scalbn
+    dunder.o(i.__mathlib_dbl_posinfnan) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul
+    dunder.o(i.__mathlib_dbl_underflow) refers to scalbn.o(x$fpl$scalbn) for __ARM_scalbn
+    rred.o(i.__ieee754_rem_pio2) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    rred.o(i.__ieee754_rem_pio2) refers to daddsub_clz.o(x$fpl$dsub) for __aeabi_dsub
+    rred.o(i.__ieee754_rem_pio2) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd
+    rred.o(i.__ieee754_rem_pio2) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul
+    rred.o(i.__ieee754_rem_pio2) refers to dfix.o(x$fpl$dfix) for __aeabi_d2iz
+    rred.o(i.__ieee754_rem_pio2) refers to dflt_clz.o(x$fpl$dflt) for __aeabi_i2d
+    rred.o(i.__ieee754_rem_pio2) refers to daddsub_clz.o(x$fpl$drsb) for __aeabi_drsub
+    rred.o(i.__ieee754_rem_pio2) refers to dflt_clz.o(x$fpl$dfltu) for __aeabi_ui2d
+    rred.o(i.__ieee754_rem_pio2) refers to scalbn.o(x$fpl$scalbn) for __ARM_scalbn
+    rred.o(i.__ieee754_rem_pio2) refers to rred.o(.constdata) for .constdata
+    rred.o(i.__use_accurate_range_reduction) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    rred.o(.constdata) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    sin_i.o(i.__kernel_sin) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    sin_i.o(i.__kernel_sin) refers to fpclassify.o(i.__ARM_fpclassify) for __ARM_fpclassify
+    sin_i.o(i.__kernel_sin) refers to dunder.o(i.__mathlib_dbl_underflow) for __mathlib_dbl_underflow
+    sin_i.o(i.__kernel_sin) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul
+    sin_i.o(i.__kernel_sin) refers to poly.o(i.__kernel_poly) for __kernel_poly
+    sin_i.o(i.__kernel_sin) refers to scalbn.o(x$fpl$scalbn) for __ARM_scalbn
+    sin_i.o(i.__kernel_sin) refers to daddsub_clz.o(x$fpl$dsub) for __aeabi_dsub
+    sin_i.o(i.__kernel_sin) refers to daddsub_clz.o(x$fpl$drsb) for __aeabi_drsub
+    sin_i.o(i.__kernel_sin) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd
+    sin_i.o(i.__kernel_sin) refers to sin_i.o(.constdata) for .constdata
+    sin_i.o(.constdata) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    sin_i_x.o(i.____kernel_sin$lsc) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    sin_i_x.o(i.____kernel_sin$lsc) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul
+    sin_i_x.o(i.____kernel_sin$lsc) refers to poly.o(i.__kernel_poly) for __kernel_poly
+    sin_i_x.o(i.____kernel_sin$lsc) refers to scalbn.o(x$fpl$scalbn) for __ARM_scalbn
+    sin_i_x.o(i.____kernel_sin$lsc) refers to daddsub_clz.o(x$fpl$dsub) for __aeabi_dsub
+    sin_i_x.o(i.____kernel_sin$lsc) refers to daddsub_clz.o(x$fpl$drsb) for __aeabi_drsub
+    sin_i_x.o(i.____kernel_sin$lsc) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd
+    sin_i_x.o(i.____kernel_sin$lsc) refers to sin_i_x.o(.constdata) for .constdata
+    sin_i_x.o(.constdata) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    __rtentry2.o(.ARM.Collect$$rtentry$$00000008) refers to boardinit2.o(.text) for _platform_post_stackheap_init
+    __rtentry2.o(.ARM.Collect$$rtentry$$0000000A) refers to libinit.o(.ARM.Collect$$libinit$$00000000) for __rt_lib_init
+    __rtentry2.o(.ARM.Collect$$rtentry$$0000000B) refers to boardinit3.o(.text) for _platform_post_lib_init
+    __rtentry2.o(.ARM.Collect$$rtentry$$0000000D) refers to main.o(.text) for main
+    __rtentry2.o(.ARM.Collect$$rtentry$$0000000D) refers to exit.o(.text) for exit
+    __rtentry2.o(.ARM.exidx) refers to __rtentry2.o(.ARM.Collect$$rtentry$$00000001) for .ARM.Collect$$rtentry$$00000001
+    __rtentry2.o(.ARM.exidx) refers to __rtentry2.o(.ARM.Collect$$rtentry$$00000008) for .ARM.Collect$$rtentry$$00000008
+    __rtentry2.o(.ARM.exidx) refers to __rtentry2.o(.ARM.Collect$$rtentry$$0000000A) for .ARM.Collect$$rtentry$$0000000A
+    __rtentry2.o(.ARM.exidx) refers to __rtentry2.o(.ARM.Collect$$rtentry$$0000000B) for .ARM.Collect$$rtentry$$0000000B
+    __rtentry2.o(.ARM.exidx) refers to __rtentry2.o(.ARM.Collect$$rtentry$$0000000D) for .ARM.Collect$$rtentry$$0000000D
+    __rtentry4.o(.ARM.Collect$$rtentry$$00000004) refers to sys_stackheap_outer.o(.text) for __user_setup_stackheap
+    __rtentry4.o(.ARM.exidx) refers to __rtentry4.o(.ARM.Collect$$rtentry$$00000004) for .ARM.Collect$$rtentry$$00000004
+    aeabi_ldiv0_sigfpe.o(.text) refers to rt_div0.o(.text) for __rt_div0
+    rt_errno_addr.o(.text) refers to rt_errno_addr.o(.bss) for __aeabi_errno_addr_data
+    rt_errno_addr_intlibspace.o(.text) refers to libspace.o(.bss) for __libspace_start
+    argv_veneer.o(.emb_text) refers to no_argv.o(.text) for __ARM_get_argv
+    dflt_clz.o(x$fpl$dfltu) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    dflt_clz.o(x$fpl$dflt) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    dflt_clz.o(x$fpl$dfltn) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    scalbn.o(x$fpl$scalbn) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    scalbn.o(x$fpl$scalbn) refers to dcheck1.o(x$fpl$dcheck1) for __fpl_dcheck_NaN1
+    fpclassify.o(i.__ARM_fpclassify) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    poly.o(i.__kernel_poly) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    poly.o(i.__kernel_poly) refers to dmul.o(x$fpl$dmul) for __aeabi_dmul
+    poly.o(i.__kernel_poly) refers to daddsub_clz.o(x$fpl$dadd) for __aeabi_dadd
+    libspace.o(.text) refers to libspace.o(.bss) for __libspace_start
+    sys_stackheap_outer.o(.text) refers to libspace.o(.text) for __user_perproc_libspace
+    sys_stackheap_outer.o(.text) refers to startup_lpc17xx.o(.text) for __user_initial_stackheap
+    rt_div0.o(.text) refers to defsig_fpe_outer.o(.text) for __rt_SIGFPE
+    exit.o(.text) refers to rtexit.o(.ARM.Collect$$rtexit$$00000000) for __rt_exit
+    _get_argv_nomalloc.o(.text) refers (Special) to hrguard.o(.text) for __heap_region$guard
+    _get_argv_nomalloc.o(.text) refers to defsig_rtmem_outer.o(.text) for __rt_SIGRTMEM
+    _get_argv_nomalloc.o(.text) refers to sys_command.o(.text) for _sys_command_string
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000002E) for __rt_lib_init_alloca_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000002C) for __rt_lib_init_argv_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000001B) for __rt_lib_init_atexit_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000021) for __rt_lib_init_clock_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000032) for __rt_lib_init_cpp_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000030) for __rt_lib_init_exceptions_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000002) for __rt_lib_init_fp_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000001F) for __rt_lib_init_fp_trap_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000023) for __rt_lib_init_getenv_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000000A) for __rt_lib_init_heap_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000011) for __rt_lib_init_lc_collate_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000013) for __rt_lib_init_lc_ctype_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000015) for __rt_lib_init_lc_monetary_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000017) for __rt_lib_init_lc_numeric_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000019) for __rt_lib_init_lc_time_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000004) for __rt_lib_init_preinit_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000000E) for __rt_lib_init_rand_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000033) for __rt_lib_init_return
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000001D) for __rt_lib_init_signal_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$00000025) for __rt_lib_init_stdio_1
+    libinit.o(.ARM.Collect$$libinit$$00000000) refers (Special) to libinit2.o(.ARM.Collect$$libinit$$0000000C) for __rt_lib_init_user_alloc_1
+    dcheck1.o(x$fpl$dcheck1) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    dcheck1.o(x$fpl$dcheck1) refers to retnan.o(x$fpl$retnan) for __fpl_return_NaN
+    sys_command.o(.text) refers (Special) to use_no_semi.o(.text) for __I$use$semihosting
+    sys_command.o(.text) refers (Special) to indicate_semi.o(.text) for __semihosting_library_function
+    rtexit.o(.ARM.Collect$$rtexit$$00000000) refers (Special) to rtexit2.o(.ARM.Collect$$rtexit$$00000004) for __rt_exit_exit
+    rtexit.o(.ARM.Collect$$rtexit$$00000000) refers (Special) to rtexit2.o(.ARM.Collect$$rtexit$$00000003) for __rt_exit_ls
+    rtexit.o(.ARM.Collect$$rtexit$$00000000) refers (Special) to rtexit2.o(.ARM.Collect$$rtexit$$00000002) for __rt_exit_prels_1
+    rtexit.o(.ARM.exidx) refers (Special) to rtexit2.o(.ARM.Collect$$rtexit$$00000004) for __rt_exit_exit
+    rtexit.o(.ARM.exidx) refers (Special) to rtexit2.o(.ARM.Collect$$rtexit$$00000003) for __rt_exit_ls
+    rtexit.o(.ARM.exidx) refers (Special) to rtexit2.o(.ARM.Collect$$rtexit$$00000002) for __rt_exit_prels_1
+    rtexit.o(.ARM.exidx) refers to rtexit.o(.ARM.Collect$$rtexit$$00000000) for .ARM.Collect$$rtexit$$00000000
+    defsig_fpe_outer.o(.text) refers to defsig_fpe_inner.o(.text) for __rt_SIGFPE_inner
+    defsig_fpe_outer.o(.text) refers to defsig_exit.o(.text) for __sig_exit
+    defsig_fpe_formal.o(.text) refers to rt_raise.o(.text) for __rt_raise
+    defsig_rtmem_outer.o(.text) refers to defsig_rtmem_inner.o(.text) for __rt_SIGRTMEM_inner
+    defsig_rtmem_outer.o(.text) refers to defsig_exit.o(.text) for __sig_exit
+    defsig_rtmem_formal.o(.text) refers to rt_raise.o(.text) for __rt_raise
+    retnan.o(x$fpl$retnan) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    retnan.o(x$fpl$retnan) refers to trapv.o(x$fpl$trapveneer) for __fpl_cmpreturn
+    rtexit2.o(.ARM.Collect$$rtexit$$00000003) refers to libshutdown.o(.ARM.Collect$$libshutdown$$00000000) for __rt_lib_shutdown
+    rtexit2.o(.ARM.Collect$$rtexit$$00000004) refers to sys_exit.o(.text) for _sys_exit
+    rtexit2.o(.ARM.exidx) refers to rtexit2.o(.ARM.Collect$$rtexit$$00000001) for .ARM.Collect$$rtexit$$00000001
+    rtexit2.o(.ARM.exidx) refers to rtexit2.o(.ARM.Collect$$rtexit$$00000003) for .ARM.Collect$$rtexit$$00000003
+    rtexit2.o(.ARM.exidx) refers to rtexit2.o(.ARM.Collect$$rtexit$$00000004) for .ARM.Collect$$rtexit$$00000004
+    rt_raise.o(.text) refers to __raise.o(.text) for __raise
+    rt_raise.o(.text) refers to sys_exit.o(.text) for _sys_exit
+    defsig_exit.o(.text) refers to sys_exit.o(.text) for _sys_exit
+    defsig_fpe_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display
+    defsig_rtmem_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display
+    trapv.o(x$fpl$trapveneer) refers (Special) to usenofp.o(x$fpl$usenofp) for __I$use$fp
+    sys_exit.o(.text) refers (Special) to use_no_semi.o(.text) for __I$use$semihosting
+    sys_exit.o(.text) refers (Special) to indicate_semi.o(.text) for __semihosting_library_function
+    __raise.o(.text) refers to defsig.o(CL$$defsig) for __default_signal_handler
+    defsig_general.o(.text) refers to sys_wrch.o(.text) for _ttywrch
+    libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$00000006) for __rt_lib_shutdown_fp_trap_1
+    libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$0000000E) for __rt_lib_shutdown_heap_1
+    libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$0000000F) for __rt_lib_shutdown_return
+    libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$00000009) for __rt_lib_shutdown_signal_1
+    libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$00000003) for __rt_lib_shutdown_stdio_1
+    libshutdown.o(.ARM.Collect$$libshutdown$$00000000) refers (Special) to libshutdown2.o(.ARM.Collect$$libshutdown$$0000000B) for __rt_lib_shutdown_user_alloc_1
+    sys_wrch.o(.text) refers (Special) to use_no_semi.o(.text) for __I$use$semihosting
+    sys_wrch.o(.text) refers (Special) to indicate_semi.o(.text) for __semihosting_library_function
+    defsig.o(CL$$defsig) refers to defsig_fpe_inner.o(.text) for __rt_SIGFPE_inner
+    defsig.o(CL$$defsig) refers to defsig_rtmem_inner.o(.text) for __rt_SIGRTMEM_inner
+    defsig_abrt_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display
+    defsig_rtred_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display
+    defsig_stak_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display
+    defsig_pvfn_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display
+    defsig_cppl_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display
+    defsig_segv_inner.o(.text) refers to defsig_general.o(.text) for __default_signal_display
+    defsig_other.o(.text) refers to defsig_general.o(.text) for __default_signal_display
+
+
+==============================================================================
+
+Removing Unused input sections from the image.
+
+    Removing core_cm3.o(.emb_text), (32 bytes).
+    Removing system_lpc17xx.o(.emb_text), (8 bytes).
+    Removing vibro.o(.emb_text), (8 bytes).
+    Removing cyclessync.o(.emb_text), (8 bytes).
+    Removing commandset.o(.emb_text), (8 bytes).
+    Removing commandset.o(.bss), (100 bytes).
+    Removing dither_reg.o(.emb_text), (8 bytes).
+    Removing el_lin.o(.emb_text), (8 bytes).
+    Removing main.o(.emb_text), (8 bytes).
+    Removing plc_reg.o(.emb_text), (8 bytes).
+    Removing thermocalc.o(.emb_text), (8 bytes).
+    Removing cntrlgld.o(.emb_text), (8 bytes).
+    Removing inputoutput.o(.emb_text), (8 bytes).
+    Removing sip.o(.emb_text), (8 bytes).
+    Removing parameters.o(.emb_text), (8 bytes).
+    Removing console.o(.emb_text), (8 bytes).
+    Removing qei.o(.emb_text), (8 bytes).
+    Removing mtimer.o(.emb_text), (8 bytes).
+    Removing spi.o(.emb_text), (8 bytes).
+    Removing command.o(.emb_text), (8 bytes).
+    Removing uart_m.o(.emb_text), (8 bytes).
+
+21 unused section(s) (total 284 bytes) removed from the image.
+
+==============================================================================
+
+Image Symbol Table
+
+    Local Symbols
+
+    Symbol Name                              Value     Ov Type        Size  Object(Section)
+
+    RESET                                    0x00000000   Section      196  startup_lpc17xx.o(RESET)
+    ../clib/angel/boardlib.s                 0x00000000   Number         0  boardinit3.o ABSOLUTE
+    ../clib/angel/boardlib.s                 0x00000000   Number         0  boardinit1.o ABSOLUTE
+    ../clib/angel/boardlib.s                 0x00000000   Number         0  boardinit2.o ABSOLUTE
+    ../clib/angel/boardlib.s                 0x00000000   Number         0  boardshut.o ABSOLUTE
+    ../clib/angel/dczerorl2.s                0x00000000   Number         0  __dczerorl2.o ABSOLUTE
+    ../clib/angel/handlers.s                 0x00000000   Number         0  __scatter_zi.o ABSOLUTE
+    ../clib/angel/kernel.s                   0x00000000   Number         0  __rtentry.o ABSOLUTE
+    ../clib/angel/kernel.s                   0x00000000   Number         0  rtexit.o ABSOLUTE
+    ../clib/angel/kernel.s                   0x00000000   Number         0  rtexit2.o ABSOLUTE
+    ../clib/angel/kernel.s                   0x00000000   Number         0  __rtentry2.o ABSOLUTE
+    ../clib/angel/kernel.s                   0x00000000   Number         0  __rtentry4.o ABSOLUTE
+    ../clib/angel/rt.s                       0x00000000   Number         0  rt_raise.o ABSOLUTE
+    ../clib/angel/rt.s                       0x00000000   Number         0  aeabi_ldiv0.o ABSOLUTE
+    ../clib/angel/rt.s                       0x00000000   Number         0  aeabi_ldiv0_sigfpe.o ABSOLUTE
+    ../clib/angel/rt.s                       0x00000000   Number         0  rt_errno_addr.o ABSOLUTE
+    ../clib/angel/rt.s                       0x00000000   Number         0  rt_errno_addr_intlibspace.o ABSOLUTE
+    ../clib/angel/rt.s                       0x00000000   Number         0  rt_div0.o ABSOLUTE
+    ../clib/angel/scatter.s                  0x00000000   Number         0  __scatter.o ABSOLUTE
+    ../clib/angel/startup.s                  0x00000000   Number         0  __main.o ABSOLUTE
+    ../clib/angel/sys.s                      0x00000000   Number         0  libspace.o ABSOLUTE
+    ../clib/angel/sys.s                      0x00000000   Number         0  sys_stackheap_outer.o ABSOLUTE
+    ../clib/angel/sys.s                      0x00000000   Number         0  indicate_semi.o ABSOLUTE
+    ../clib/angel/sys.s                      0x00000000   Number         0  use_no_semi.o ABSOLUTE
+    ../clib/angel/sysapp.c                   0x00000000   Number         0  sys_exit.o ABSOLUTE
+    ../clib/angel/sysapp.c                   0x00000000   Number         0  sys_command.o ABSOLUTE
+    ../clib/angel/sysapp.c                   0x00000000   Number         0  sys_wrch.o ABSOLUTE
+    ../clib/armsys.c                         0x00000000   Number         0  argv_veneer.o ABSOLUTE
+    ../clib/armsys.c                         0x00000000   Number         0  argv_veneer.o ABSOLUTE
+    ../clib/armsys.c                         0x00000000   Number         0  _get_argv_nomalloc.o ABSOLUTE
+    ../clib/armsys.c                         0x00000000   Number         0  no_argv.o ABSOLUTE
+    ../clib/fenv.c                           0x00000000   Number         0  _rserrno.o ABSOLUTE
+    ../clib/heapalloc.c                      0x00000000   Number         0  hrguard.o ABSOLUTE
+    ../clib/heapaux.c                        0x00000000   Number         0  heapauxi.o ABSOLUTE
+    ../clib/libinit.s                        0x00000000   Number         0  libinit.o ABSOLUTE
+    ../clib/libinit.s                        0x00000000   Number         0  libinit2.o ABSOLUTE
+    ../clib/libinit.s                        0x00000000   Number         0  libshutdown.o ABSOLUTE
+    ../clib/libinit.s                        0x00000000   Number         0  libshutdown2.o ABSOLUTE
+    ../clib/longlong.s                       0x00000000   Number         0  llsdiv.o ABSOLUTE
+    ../clib/longlong.s                       0x00000000   Number         0  lludivv7m.o ABSOLUTE
+    ../clib/memcpset.s                       0x00000000   Number         0  rt_memcpy_w.o ABSOLUTE
+    ../clib/memcpset.s                       0x00000000   Number         0  rt_memcpy_v6.o ABSOLUTE
+    ../clib/signal.c                         0x00000000   Number         0  __raise.o ABSOLUTE
+    ../clib/signal.c                         0x00000000   Number         0  defsig_stak_inner.o ABSOLUTE
+    ../clib/signal.c                         0x00000000   Number         0  defsig_fpe_outer.o ABSOLUTE
+    ../clib/signal.c                         0x00000000   Number         0  defsig_rtmem_outer.o ABSOLUTE
+    ../clib/signal.c                         0x00000000   Number         0  defsig_rtmem_formal.o ABSOLUTE
+    ../clib/signal.c                         0x00000000   Number         0  defsig_exit.o ABSOLUTE
+    ../clib/signal.c                         0x00000000   Number         0  defsig_fpe_inner.o ABSOLUTE
+    ../clib/signal.c                         0x00000000   Number         0  defsig_general.o ABSOLUTE
+    ../clib/signal.c                         0x00000000   Number         0  defsig_abrt_inner.o ABSOLUTE
+    ../clib/signal.c                         0x00000000   Number         0  defsig_rtred_inner.o ABSOLUTE
+    ../clib/signal.c                         0x00000000   Number         0  defsig_other.o ABSOLUTE
+    ../clib/signal.c                         0x00000000   Number         0  defsig_segv_inner.o ABSOLUTE
+    ../clib/signal.c                         0x00000000   Number         0  defsig_cppl_inner.o ABSOLUTE
+    ../clib/signal.c                         0x00000000   Number         0  defsig_pvfn_inner.o ABSOLUTE
+    ../clib/signal.c                         0x00000000   Number         0  defsig_rtmem_inner.o ABSOLUTE
+    ../clib/signal.c                         0x00000000   Number         0  defsig_fpe_formal.o ABSOLUTE
+    ../clib/signal.s                         0x00000000   Number         0  defsig.o ABSOLUTE
+    ../clib/stdlib.c                         0x00000000   Number         0  rand.o ABSOLUTE
+    ../clib/stdlib.c                         0x00000000   Number         0  rand.o ABSOLUTE
+    ../clib/stdlib.c                         0x00000000   Number         0  exit.o ABSOLUTE
+    ../fplib/d2f.s                           0x00000000   Number         0  d2f.o ABSOLUTE
+    ../fplib/daddsub.s                       0x00000000   Number         0  daddsub_clz.o ABSOLUTE
+    ../fplib/dcheck1.s                       0x00000000   Number         0  dcheck1.o ABSOLUTE
+    ../fplib/ddiv.s                          0x00000000   Number         0  ddiv.o ABSOLUTE
+    ../fplib/dfix.s                          0x00000000   Number         0  dfix.o ABSOLUTE
+    ../fplib/dflt.s                          0x00000000   Number         0  dflt_clz.o ABSOLUTE
+    ../fplib/dmul.s                          0x00000000   Number         0  dmul.o ABSOLUTE
+    ../fplib/dnaninf.s                       0x00000000   Number         0  dnaninf.o ABSOLUTE
+    ../fplib/dretinf.s                       0x00000000   Number         0  dretinf.o ABSOLUTE
+    ../fplib/f2d.s                           0x00000000   Number         0  f2d.o ABSOLUTE
+    ../fplib/faddsub.s                       0x00000000   Number         0  faddsub_clz.o ABSOLUTE
+    ../fplib/ffix.s                          0x00000000   Number         0  ffix.o ABSOLUTE
+    ../fplib/ffixu.s                         0x00000000   Number         0  ffixu.o ABSOLUTE
+    ../fplib/fflt.s                          0x00000000   Number         0  fflt_clz.o ABSOLUTE
+    ../fplib/fmul.s                          0x00000000   Number         0  fmul.o ABSOLUTE
+    ../fplib/fnaninf.s                       0x00000000   Number         0  fnaninf.o ABSOLUTE
+    ../fplib/fpinit.s                        0x00000000   Number         0  fpinit.o ABSOLUTE
+    ../fplib/fretinf.s                       0x00000000   Number         0  fretinf.o ABSOLUTE
+    ../fplib/retnan.s                        0x00000000   Number         0  retnan.o ABSOLUTE
+    ../fplib/scalbn.s                        0x00000000   Number         0  scalbn.o ABSOLUTE
+    ../fplib/trapv.s                         0x00000000   Number         0  trapv.o ABSOLUTE
+    ../fplib/usenofp.s                       0x00000000   Number         0  usenofp.o ABSOLUTE
+    ../mathlib/cos.c                         0x00000000   Number         0  cos.o ABSOLUTE
+    ../mathlib/cos.c                         0x00000000   Number         0  cos_x.o ABSOLUTE
+    ../mathlib/cos_i.c                       0x00000000   Number         0  cos_i.o ABSOLUTE
+    ../mathlib/dunder.c                      0x00000000   Number         0  dunder.o ABSOLUTE
+    ../mathlib/fpclassify.c                  0x00000000   Number         0  fpclassify.o ABSOLUTE
+    ../mathlib/poly.c                        0x00000000   Number         0  poly.o ABSOLUTE
+    ../mathlib/rred.c                        0x00000000   Number         0  rred.o ABSOLUTE
+    ../mathlib/sin.c                         0x00000000   Number         0  sin.o ABSOLUTE
+    ../mathlib/sin.c                         0x00000000   Number         0  sin_x.o ABSOLUTE
+    ../mathlib/sin_i.c                       0x00000000   Number         0  sin_i.o ABSOLUTE
+    ../mathlib/sin_i.c                       0x00000000   Number         0  sin_i_x.o ABSOLUTE
+    Source\App\CntrlGLD.c                    0x00000000   Number         0  cntrlgld.o ABSOLUTE
+    Source\App\Command.c                     0x00000000   Number         0  command.o ABSOLUTE
+    Source\App\CyclesSync.c                  0x00000000   Number         0  cyclessync.o ABSOLUTE
+    Source\App\Dither_Reg.c                  0x00000000   Number         0  dither_reg.o ABSOLUTE
+    Source\App\Global.c                      0x00000000   Number         0  global.o ABSOLUTE
+    Source\App\HFO_Reg.c                     0x00000000   Number         0  hfo_reg.o ABSOLUTE
+    Source\App\InputOutput.c                 0x00000000   Number         0  inputoutput.o ABSOLUTE
+    Source\App\MTimer.c                      0x00000000   Number         0  mtimer.o ABSOLUTE
+    Source\App\PLC_reg.c                     0x00000000   Number         0  plc_reg.o ABSOLUTE
+    Source\App\Parameters.c                  0x00000000   Number         0  parameters.o ABSOLUTE
+    Source\App\QEI.c                         0x00000000   Number         0  qei.o ABSOLUTE
+    Source\App\SIP.c                         0x00000000   Number         0  sip.o ABSOLUTE
+    Source\App\SPI.c                         0x00000000   Number         0  spi.o ABSOLUTE
+    Source\App\ThermoCalc.c                  0x00000000   Number         0  thermocalc.o ABSOLUTE
+    Source\App\commandset.c                  0x00000000   Number         0  commandset.o ABSOLUTE
+    Source\App\console.c                     0x00000000   Number         0  console.o ABSOLUTE
+    Source\App\el_lin.c                      0x00000000   Number         0  el_lin.o ABSOLUTE
+    Source\App\main.c                        0x00000000   Number         0  main.o ABSOLUTE
+    Source\App\mathDSP.c                     0x00000000   Number         0  mathdsp.o ABSOLUTE
+    Source\App\uart_m.c                      0x00000000   Number         0  uart_m.o ABSOLUTE
+    Source\App\vibro.c                       0x00000000   Number         0  vibro.o ABSOLUTE
+    Source\CMSIS\CM3\CoreSupport\core_cm3.c  0x00000000   Number         0  core_cm3.o ABSOLUTE
+    Source\CMSIS\CM3\DeviceSupport\NXP\LPC17xx\startup\arm\startup_LPC17xx.s 0x00000000   Number         0  startup_lpc17xx.o ABSOLUTE
+    Source\CMSIS\CM3\DeviceSupport\NXP\LPC17xx\system_LPC17xx.c 0x00000000   Number         0  system_lpc17xx.o ABSOLUTE
+    Source\\App\\CntrlGLD.c                  0x00000000   Number         0  cntrlgld.o ABSOLUTE
+    Source\\App\\Command.c                   0x00000000   Number         0  command.o ABSOLUTE
+    Source\\App\\CyclesSync.c                0x00000000   Number         0  cyclessync.o ABSOLUTE
+    Source\\App\\Dither_Reg.c                0x00000000   Number         0  dither_reg.o ABSOLUTE
+    Source\\App\\InputOutput.c               0x00000000   Number         0  inputoutput.o ABSOLUTE
+    Source\\App\\MTimer.c                    0x00000000   Number         0  mtimer.o ABSOLUTE
+    Source\\App\\PLC_reg.c                   0x00000000   Number         0  plc_reg.o ABSOLUTE
+    Source\\App\\Parameters.c                0x00000000   Number         0  parameters.o ABSOLUTE
+    Source\\App\\QEI.c                       0x00000000   Number         0  qei.o ABSOLUTE
+    Source\\App\\SIP.c                       0x00000000   Number         0  sip.o ABSOLUTE
+    Source\\App\\SPI.c                       0x00000000   Number         0  spi.o ABSOLUTE
+    Source\\App\\ThermoCalc.c                0x00000000   Number         0  thermocalc.o ABSOLUTE
+    Source\\App\\commandset.c                0x00000000   Number         0  commandset.o ABSOLUTE
+    Source\\App\\console.c                   0x00000000   Number         0  console.o ABSOLUTE
+    Source\\App\\el_lin.c                    0x00000000   Number         0  el_lin.o ABSOLUTE
+    Source\\App\\main.c                      0x00000000   Number         0  main.o ABSOLUTE
+    Source\\App\\uart_m.c                    0x00000000   Number         0  uart_m.o ABSOLUTE
+    Source\\App\\vibro.c                     0x00000000   Number         0  vibro.o ABSOLUTE
+    Source\\CMSIS\\CM3\\CoreSupport\\core_cm3.c 0x00000000   Number         0  core_cm3.o ABSOLUTE
+    Source\\CMSIS\\CM3\\DeviceSupport\\NXP\\LPC17xx\\system_LPC17xx.c 0x00000000   Number         0  system_lpc17xx.o ABSOLUTE
+    dc.s                                     0x00000000   Number         0  dc.o ABSOLUTE
+    !!!main                                  0x000000c4   Section        8  __main.o(!!!main)
+    !!!scatter                               0x000000cc   Section       52  __scatter.o(!!!scatter)
+    !!dczerorl2                              0x00000100   Section       90  __dczerorl2.o(!!dczerorl2)
+    !!handler_zi                             0x0000015c   Section       28  __scatter_zi.o(!!handler_zi)
+    .ARM.Collect$$libinit$$00000000          0x00000178   Section        2  libinit.o(.ARM.Collect$$libinit$$00000000)
+    .ARM.Collect$$libinit$$00000002          0x0000017a   Section        0  libinit2.o(.ARM.Collect$$libinit$$00000002)
+    .ARM.Collect$$libinit$$00000004          0x0000017a   Section        0  libinit2.o(.ARM.Collect$$libinit$$00000004)
+    .ARM.Collect$$libinit$$0000000A          0x0000017a   Section        0  libinit2.o(.ARM.Collect$$libinit$$0000000A)
+    .ARM.Collect$$libinit$$0000000C          0x0000017a   Section        0  libinit2.o(.ARM.Collect$$libinit$$0000000C)
+    .ARM.Collect$$libinit$$0000000D          0x0000017a   Section        4  libinit2.o(.ARM.Collect$$libinit$$0000000D)
+    .ARM.Collect$$libinit$$0000000E          0x0000017e   Section        0  libinit2.o(.ARM.Collect$$libinit$$0000000E)
+    .ARM.Collect$$libinit$$00000011          0x0000017e   Section        0  libinit2.o(.ARM.Collect$$libinit$$00000011)
+    .ARM.Collect$$libinit$$00000013          0x0000017e   Section        0  libinit2.o(.ARM.Collect$$libinit$$00000013)
+    .ARM.Collect$$libinit$$00000015          0x0000017e   Section        0  libinit2.o(.ARM.Collect$$libinit$$00000015)
+    .ARM.Collect$$libinit$$00000017          0x0000017e   Section        0  libinit2.o(.ARM.Collect$$libinit$$00000017)
+    .ARM.Collect$$libinit$$00000019          0x0000017e   Section        0  libinit2.o(.ARM.Collect$$libinit$$00000019)
+    .ARM.Collect$$libinit$$0000001B          0x0000017e   Section        0  libinit2.o(.ARM.Collect$$libinit$$0000001B)
+    .ARM.Collect$$libinit$$0000001D          0x0000017e   Section        0  libinit2.o(.ARM.Collect$$libinit$$0000001D)
+    .ARM.Collect$$libinit$$0000001F          0x0000017e   Section        0  libinit2.o(.ARM.Collect$$libinit$$0000001F)
+    .ARM.Collect$$libinit$$00000021          0x0000017e   Section        0  libinit2.o(.ARM.Collect$$libinit$$00000021)
+    .ARM.Collect$$libinit$$00000023          0x0000017e   Section        0  libinit2.o(.ARM.Collect$$libinit$$00000023)
+    .ARM.Collect$$libinit$$00000025          0x0000017e   Section        0  libinit2.o(.ARM.Collect$$libinit$$00000025)
+    .ARM.Collect$$libinit$$0000002C          0x0000017e   Section        0  libinit2.o(.ARM.Collect$$libinit$$0000002C)
+    .ARM.Collect$$libinit$$0000002E          0x0000017e   Section        0  libinit2.o(.ARM.Collect$$libinit$$0000002E)
+    .ARM.Collect$$libinit$$00000030          0x0000017e   Section        0  libinit2.o(.ARM.Collect$$libinit$$00000030)
+    .ARM.Collect$$libinit$$00000032          0x0000017e   Section        0  libinit2.o(.ARM.Collect$$libinit$$00000032)
+    .ARM.Collect$$libinit$$00000033          0x0000017e   Section        2  libinit2.o(.ARM.Collect$$libinit$$00000033)
+    .ARM.Collect$$libshutdown$$00000000      0x00000180   Section        2  libshutdown.o(.ARM.Collect$$libshutdown$$00000000)
+    .ARM.Collect$$libshutdown$$00000003      0x00000182   Section        0  libshutdown2.o(.ARM.Collect$$libshutdown$$00000003)
+    .ARM.Collect$$libshutdown$$00000006      0x00000182   Section        0  libshutdown2.o(.ARM.Collect$$libshutdown$$00000006)
+    .ARM.Collect$$libshutdown$$00000009      0x00000182   Section        0  libshutdown2.o(.ARM.Collect$$libshutdown$$00000009)
+    .ARM.Collect$$libshutdown$$0000000B      0x00000182   Section        0  libshutdown2.o(.ARM.Collect$$libshutdown$$0000000B)
+    .ARM.Collect$$libshutdown$$0000000E      0x00000182   Section        0  libshutdown2.o(.ARM.Collect$$libshutdown$$0000000E)
+    .ARM.Collect$$libshutdown$$0000000F      0x00000182   Section        2  libshutdown2.o(.ARM.Collect$$libshutdown$$0000000F)
+    .ARM.Collect$$rtentry$$00000000          0x00000184   Section        0  __rtentry.o(.ARM.Collect$$rtentry$$00000000)
+    .ARM.Collect$$rtentry$$00000002          0x00000184   Section        0  __rtentry2.o(.ARM.Collect$$rtentry$$00000002)
+    .ARM.Collect$$rtentry$$00000004          0x00000184   Section        6  __rtentry4.o(.ARM.Collect$$rtentry$$00000004)
+    .ARM.Collect$$rtentry$$00000009          0x0000018a   Section        0  __rtentry2.o(.ARM.Collect$$rtentry$$00000009)
+    .ARM.Collect$$rtentry$$0000000A          0x0000018a   Section        4  __rtentry2.o(.ARM.Collect$$rtentry$$0000000A)
+    .ARM.Collect$$rtentry$$0000000C          0x0000018e   Section        0  __rtentry2.o(.ARM.Collect$$rtentry$$0000000C)
+    .ARM.Collect$$rtentry$$0000000D          0x0000018e   Section        8  __rtentry2.o(.ARM.Collect$$rtentry$$0000000D)
+    .ARM.Collect$$rtexit$$00000000           0x00000196   Section        2  rtexit.o(.ARM.Collect$$rtexit$$00000000)
+    .ARM.Collect$$rtexit$$00000002           0x00000198   Section        0  rtexit2.o(.ARM.Collect$$rtexit$$00000002)
+    .ARM.Collect$$rtexit$$00000003           0x00000198   Section        4  rtexit2.o(.ARM.Collect$$rtexit$$00000003)
+    .ARM.Collect$$rtexit$$00000004           0x0000019c   Section        6  rtexit2.o(.ARM.Collect$$rtexit$$00000004)
+    .emb_text                                0x000001a4   Section       52  rand.o(.emb_text)
+    .text                                    0x000001d8   Section       60  startup_lpc17xx.o(.text)
+    .text                                    0x00000214   Section        0  system_lpc17xx.o(.text)
+    .text                                    0x00000488   Section        0  vibro.o(.text)
+    .text                                    0x00000778   Section        0  cyclessync.o(.text)
+    NVIC_EnableIRQ                           0x00000b4d   Thumb Code   140  cyclessync.o(.text)
+    NVIC_DisableIRQ                          0x00000bd9   Thumb Code    18  cyclessync.o(.text)
+    .text                                    0x00000bf0   Section        0  commandset.o(.text)
+    .text                                    0x00001758   Section        0  dither_reg.o(.text)
+    .text                                    0x000020b8   Section        0  el_lin.o(.text)
+    .text                                    0x00002718   Section        0  main.o(.text)
+    .text                                    0x00002b84   Section        0  plc_reg.o(.text)
+    .text                                    0x000031c8   Section        0  hfo_reg.o(.text)
+    .text                                    0x0000335c   Section        0  thermocalc.o(.text)
+    .text                                    0x00003838   Section        0  cntrlgld.o(.text)
+    .text                                    0x00003b38   Section        0  mathdsp.o(.text)
+    .text                                    0x00004198   Section        0  inputoutput.o(.text)
+    .text                                    0x00004510   Section        0  sip.o(.text)
+    .text                                    0x00004938   Section        0  parameters.o(.text)
+    .text                                    0x00004b14   Section        0  console.o(.text)
+    .text                                    0x00004c88   Section        0  qei.o(.text)
+    .text                                    0x00004f70   Section        0  global.o(.text)
+    .text                                    0x00004fc4   Section        0  mtimer.o(.text)
+    NVIC_EnableIRQ                           0x000050a9   Thumb Code    22  mtimer.o(.text)
+    .text                                    0x000050f0   Section        0  spi.o(.text)
+    .text                                    0x00005324   Section        0  command.o(.text)
+    .text                                    0x000059a8   Section        0  uart_m.o(.text)
+    .text                                    0x000067b4   Section       72  llsdiv.o(.text)
+    .text                                    0x000067fc   Section        0  rand.o(.text)
+    .text                                    0x00006838   Section      138  rt_memcpy_v6.o(.text)
+    .text                                    0x000068c2   Section        0  heapauxi.o(.text)
+    .text                                    0x000068c8   Section      238  lludivv7m.o(.text)
+    .text                                    0x000069b6   Section        0  _rserrno.o(.text)
+    .text                                    0x000069cc   Section      100  rt_memcpy_w.o(.text)
+    .text                                    0x00006a30   Section        8  rt_errno_addr_intlibspace.o(.text)
+    .text                                    0x00006a38   Section        8  libspace.o(.text)
+    .text                                    0x00006a40   Section       74  sys_stackheap_outer.o(.text)
+    .text                                    0x00006a8a   Section        0  exit.o(.text)
+    .text                                    0x00006a96   Section        2  use_no_semi.o(.text)
+    .text                                    0x00006a98   Section        0  indicate_semi.o(.text)
+    .text                                    0x00006a98   Section        0  sys_exit.o(.text)
+    i.Max_Saturation                         0x00006aa4   Section        0  thermocalc.o(i.Max_Saturation)
+    i.__ARM_fpclassify                       0x00006ab0   Section        0  fpclassify.o(i.__ARM_fpclassify)
+    i.__ieee754_rem_pio2                     0x00006ad8   Section        0  rred.o(i.__ieee754_rem_pio2)
+    i.__kernel_cos                           0x00006e60   Section        0  cos_i.o(i.__kernel_cos)
+    i.__kernel_poly                          0x00006f5c   Section        0  poly.o(i.__kernel_poly)
+    i.__kernel_sin                           0x00007008   Section        0  sin_i.o(i.__kernel_sin)
+    i.__mathlib_dbl_infnan                   0x000070f4   Section        0  dunder.o(i.__mathlib_dbl_infnan)
+    i.__mathlib_dbl_invalid                  0x000070fa   Section        0  dunder.o(i.__mathlib_dbl_invalid)
+    i.__mathlib_dbl_underflow                0x00007108   Section        0  dunder.o(i.__mathlib_dbl_underflow)
+    i.cos                                    0x00007118   Section        0  cos.o(i.cos)
+    i.sin                                    0x000071b4   Section        0  sin.o(i.sin)
+    x$fpl$d2f                                0x00007254   Section       98  d2f.o(x$fpl$d2f)
+    x$fpl$dadd                               0x000072b8   Section      336  daddsub_clz.o(x$fpl$dadd)
+    _dadd1                                   0x000072c9   Thumb Code     0  daddsub_clz.o(x$fpl$dadd)
+    x$fpl$dcheck1                            0x00007408   Section       16  dcheck1.o(x$fpl$dcheck1)
+    x$fpl$ddiv                               0x00007418   Section      688  ddiv.o(x$fpl$ddiv)
+    ddiv_entry                               0x0000741f   Thumb Code     0  ddiv.o(x$fpl$ddiv)
+    x$fpl$dfix                               0x000076c8   Section       94  dfix.o(x$fpl$dfix)
+    x$fpl$dflt                               0x00007726   Section       46  dflt_clz.o(x$fpl$dflt)
+    x$fpl$dfltu                              0x00007754   Section       38  dflt_clz.o(x$fpl$dfltu)
+    x$fpl$dmul                               0x0000777c   Section      340  dmul.o(x$fpl$dmul)
+    x$fpl$dnaninf                            0x000078d0   Section      156  dnaninf.o(x$fpl$dnaninf)
+    x$fpl$dretinf                            0x0000796c   Section       12  dretinf.o(x$fpl$dretinf)
+    x$fpl$drsb                               0x00007978   Section       22  daddsub_clz.o(x$fpl$drsb)
+    x$fpl$dsub                               0x00007990   Section      468  daddsub_clz.o(x$fpl$dsub)
+    _dsub1                                   0x000079a1   Thumb Code     0  daddsub_clz.o(x$fpl$dsub)
+    x$fpl$f2d                                0x00007b64   Section       86  f2d.o(x$fpl$f2d)
+    x$fpl$fadd                               0x00007bbc   Section      196  faddsub_clz.o(x$fpl$fadd)
+    _fadd1                                   0x00007bcb   Thumb Code     0  faddsub_clz.o(x$fpl$fadd)
+    x$fpl$ffix                               0x00007c80   Section       54  ffix.o(x$fpl$ffix)
+    x$fpl$ffixu                              0x00007cb8   Section       62  ffixu.o(x$fpl$ffixu)
+    x$fpl$fflt                               0x00007cf8   Section       48  fflt_clz.o(x$fpl$fflt)
+    x$fpl$ffltu                              0x00007d28   Section       38  fflt_clz.o(x$fpl$ffltu)
+    x$fpl$fmul                               0x00007d50   Section      258  fmul.o(x$fpl$fmul)
+    x$fpl$fnaninf                            0x00007e52   Section      140  fnaninf.o(x$fpl$fnaninf)
+    x$fpl$fretinf                            0x00007ede   Section       10  fretinf.o(x$fpl$fretinf)
+    x$fpl$frsb                               0x00007ee8   Section       20  faddsub_clz.o(x$fpl$frsb)
+    x$fpl$fsub                               0x00007efc   Section      234  faddsub_clz.o(x$fpl$fsub)
+    _fsub1                                   0x00007f0b   Thumb Code     0  faddsub_clz.o(x$fpl$fsub)
+    x$fpl$retnan                             0x00007fe6   Section      100  retnan.o(x$fpl$retnan)
+    x$fpl$scalbn                             0x0000804a   Section       92  scalbn.o(x$fpl$scalbn)
+    x$fpl$trapveneer                         0x000080a6   Section       48  trapv.o(x$fpl$trapveneer)
+    x$fpl$usenofp                            0x000080d6   Section        0  usenofp.o(x$fpl$usenofp)
+    .constdata                               0x000080d8   Section       48  cos_i.o(.constdata)
+    C                                        0x000080d8   Data          48  cos_i.o(.constdata)
+    .constdata                               0x00008108   Section      200  rred.o(.constdata)
+    pio2s                                    0x00008108   Data          48  rred.o(.constdata)
+    twooverpi                                0x00008138   Data         152  rred.o(.constdata)
+    .constdata                               0x000081d0   Section       40  sin_i.o(.constdata)
+    S                                        0x000081d0   Data          40  sin_i.o(.constdata)
+    .data                                    0x10000000   Section       76  cyclessync.o(.data)
+    PreLatch                                 0x10000044   Data           4  cyclessync.o(.data)
+    halfQEIPeriod                            0x10000048   Data           4  cyclessync.o(.data)
+    .data                                    0x1000004c   Section       64  plc_reg.o(.data)
+    poz_counter                              0x10000064   Data           4  plc_reg.o(.data)
+    neg_counter                              0x10000068   Data           4  plc_reg.o(.data)
+    flg_delay                                0x1000006c   Data           4  plc_reg.o(.data)
+    is_zeroing                               0x10000070   Data           4  plc_reg.o(.data)
+    zero_delay                               0x10000074   Data           4  plc_reg.o(.data)
+    plc_reset32                              0x10000078   Data           4  plc_reg.o(.data)
+    plc_transiton                            0x1000007c   Data           1  plc_reg.o(.data)
+    index                                    0x10000080   Data           4  plc_reg.o(.data)
+    SampleAndHoldOut                         0x10000084   Data           4  plc_reg.o(.data)
+    WP_PhasDet_integr                        0x10000088   Data           4  plc_reg.o(.data)
+    .data                                    0x1000008c   Section       48  thermocalc.o(.data)
+    TS_sum                                   0x100000a4   Data           4  thermocalc.o(.data)
+    seconds_aver                             0x100000a8   Data           4  thermocalc.o(.data)
+    TenSeconds                               0x100000ac   Data           4  thermocalc.o(.data)
+    Temp_AverPrevDynCalc                     0x100000b0   Data           4  thermocalc.o(.data)
+    StartRdy                                 0x100000b4   Data           4  thermocalc.o(.data)
+    PrevTemp                                 0x100000b8   Data           4  thermocalc.o(.data)
+    .data                                    0x100000c0   Section       68  mathdsp.o(.data)
+    kIn                                      0x100000ec   Data           4  mathdsp.o(.data)
+    kIn                                      0x100000f0   Data           4  mathdsp.o(.data)
+    kIn                                      0x100000f4   Data           4  mathdsp.o(.data)
+    smooth_HF                                0x100000f8   Data           8  mathdsp.o(.data)
+    i_HF                                     0x10000100   Data           4  mathdsp.o(.data)
+    .data                                    0x10000104   Section       16  inputoutput.o(.data)
+    WrIndex                                  0x1000010c   Data           4  inputoutput.o(.data)
+    time_out                                 0x10000110   Data           4  inputoutput.o(.data)
+    .data                                    0x10000114   Section       16  console.o(.data)
+    .data                                    0x10000124   Section       48  command.o(.data)
+    .data__ZZ20_arm_global_overflowE1v       0x10000154   Section        4  dither_reg.o(.data__ZZ20_arm_global_overflowE1v)
+    .bss                                     0x10000158   Section     6144  qei.o(.bss)
+    .bss                                     0x10001958   Section      100  global.o(.bss)
+    .bss                                     0x100019bc   Section     6176  spi.o(.bss)
+    .bss                                     0x100031dc   Section     8448  uart_m.o(.bss)
+    .bss                                     0x100052dc   Section       96  libspace.o(.bss)
+    HEAP                                     0x10005340   Section        0  startup_lpc17xx.o(HEAP)
+    Heap_Mem                                 0x10005340   Data           0  startup_lpc17xx.o(HEAP)
+    .data                                    0x2007c000   Section        4  system_lpc17xx.o(.data)
+    .data                                    0x2007c004   Section       32  vibro.o(.data)
+    PeriodCount                              0x2007c014   Data           4  vibro.o(.data)
+    Tnoise                                   0x2007c018   Data           4  vibro.o(.data)
+    TempFaza                                 0x2007c01c   Data           4  vibro.o(.data)
+    CountFaza                                0x2007c020   Data           4  vibro.o(.data)
+    .data                                    0x2007c024   Section       80  commandset.o(.data)
+    paramTable                               0x2007c038   Data          44  commandset.o(.data)
+    val                                      0x2007c064   Data           4  commandset.o(.data)
+    paramTmpWord                             0x2007c068   Data           4  commandset.o(.data)
+    ptr                                      0x2007c06c   Data           4  commandset.o(.data)
+    index                                    0x2007c070   Data           4  commandset.o(.data)
+    .data                                    0x2007c074   Section       96  dither_reg.o(.data)
+    poz_counter                              0x2007c0a8   Data           4  dither_reg.o(.data)
+    neg_counter                              0x2007c0ac   Data           4  dither_reg.o(.data)
+    flg_delay                                0x2007c0b0   Data           4  dither_reg.o(.data)
+    SampleAndHoldOut                         0x2007c0b4   Data           4  dither_reg.o(.data)
+    VB_PhasDet_integr                        0x2007c0b8   Data           4  dither_reg.o(.data)
+    dith_period                              0x2007c0bc   Data           4  dither_reg.o(.data)
+    out_freq_sum                             0x2007c0c0   Data           4  dither_reg.o(.data)
+    temp                                     0x2007c0c4   Data           4  dither_reg.o(.data)
+    Flag                                     0x2007c0c8   Data           4  dither_reg.o(.data)
+    PeriodCount                              0x2007c0cc   Data           4  dither_reg.o(.data)
+    Tnoise                                   0x2007c0d0   Data           4  dither_reg.o(.data)
+    .data                                    0x2007c0d4   Section       64  el_lin.o(.data)
+    ToWaitEnd                                0x2007c10c   Data           4  el_lin.o(.data)
+    ErrReg                                   0x2007c110   Data           4  el_lin.o(.data)
+    .data                                    0x2007c114   Section      104  main.o(.data)
+    .data                                    0x2007c17c   Section        4  hfo_reg.o(.data)
+    hf_reg                                   0x2007c17c   Data           4  hfo_reg.o(.data)
+    .data                                    0x2007c180   Section      108  cntrlgld.o(.data)
+    Device_Mode_Old                          0x2007c1e4   Data           4  cntrlgld.o(.data)
+    Sum_F_ras                                0x2007c1e8   Data           4  cntrlgld.o(.data)
+    .data                                    0x2007c1f0   Section       76  sip.o(.data)
+    cntPls_sum_32                            0x2007c224   Data           4  sip.o(.data)
+    last_Cnt_Plus                            0x2007c228   Data           4  sip.o(.data)
+    dif_sum_32                               0x2007c22c   Data           4  sip.o(.data)
+    Cnt_Pls                                  0x2007c230   Data           4  sip.o(.data)
+    Cnt_Mns                                  0x2007c234   Data           4  sip.o(.data)
+    preLast_Cnt_Plus                         0x2007c238   Data           4  sip.o(.data)
+    .data                                    0x2007c23c   Section       56  qei.o(.data)
+    .data                                    0x2007c274   Section       28  global.o(.data)
+    .data                                    0x2007c290   Section       12  mtimer.o(.data)
+    .data                                    0x2007c29c   Section      276  spi.o(.data)
+    .data                                    0x2007c3b0   Section      132  uart_m.o(.data)
+    .bss                                     0x2007c434   Section      112  vibro.o(.bss)
+    .bss                                     0x2007c4a4   Section      352  el_lin.o(.bss)
+    .bss                                     0x2007c604   Section      400  plc_reg.o(.bss)
+    .bss                                     0x2007c794   Section      168  thermocalc.o(.bss)
+    .bss                                     0x2007c83c   Section      684  cntrlgld.o(.bss)
+    .bss                                     0x2007cae8   Section     1704  mathdsp.o(.bss)
+    BufInDUP_1                               0x2007d054   Data          12  mathdsp.o(.bss)
+    BufInDUP_2                               0x2007d060   Data          12  mathdsp.o(.bss)
+    BufInPLC_1                               0x2007d06c   Data          12  mathdsp.o(.bss)
+    BufInPLC_2                               0x2007d078   Data          12  mathdsp.o(.bss)
+    BufOutPLC                                0x2007d084   Data          12  mathdsp.o(.bss)
+    buffer_HF                                0x2007d090   Data         256  mathdsp.o(.bss)
+    .bss                                     0x2007d190   Section      200  inputoutput.o(.bss)
+    .bss                                     0x2007d258   Section     8192  console.o(.bss)
+    .bss                                     0x2007f258   Section      228  rand.o(.bss)
+    STACK                                    0x2007f340   Section     8192  startup_lpc17xx.o(STACK)
+    Stack_Mem                                0x2007f340   Data        8192  startup_lpc17xx.o(STACK)
+    __initial_sp                             0x20081340   Data           0  startup_lpc17xx.o(STACK)
+
+    Global Symbols
+
+    Symbol Name                              Value     Ov Type        Size  Object(Section)
+
+    BuildAttributes$$THM_ISAv4$P$D$K$B$S$PE$A:L22UL41UL21$X:L11$S22US41US21$IEEE1$IW$USESV6$~STKCKD$USESV7$~SHL$OTIME$ROPI$IEEEX$EBA8$UX$STANDARDLIB$REQ8$PRES8$EABIv2 0x00000000   Number         0  anon$$obj.o ABSOLUTE
+    __ARM_use_no_argv                        0x00000000   Number         0  main.o ABSOLUTE
+    __Vectors                                0x00000000   Data           4  startup_lpc17xx.o(RESET)
+    __ARM_exceptions_init                     - Undefined Weak Reference
+    __alloca_initialize                       - Undefined Weak Reference
+    __arm_preinit_                            - Undefined Weak Reference
+    __cpp_initialize__aeabi_                  - Undefined Weak Reference
+    __cxa_finalize                            - Undefined Weak Reference
+    __rt_locale                               - Undefined Weak Reference
+    __sigvec_lookup                           - Undefined Weak Reference
+    _atexit_init                              - Undefined Weak Reference
+    _call_atexit_fns                          - Undefined Weak Reference
+    _clock_init                               - Undefined Weak Reference
+    _fp_trap_init                             - Undefined Weak Reference
+    _fp_trap_shutdown                         - Undefined Weak Reference
+    _get_lc_collate                           - Undefined Weak Reference
+    _get_lc_ctype                             - Undefined Weak Reference
+    _get_lc_monetary                          - Undefined Weak Reference
+    _get_lc_numeric                           - Undefined Weak Reference
+    _get_lc_time                              - Undefined Weak Reference
+    _getenv_init                              - Undefined Weak Reference
+    _handle_redirection                       - Undefined Weak Reference
+    _init_alloc                               - Undefined Weak Reference
+    _init_user_alloc                          - Undefined Weak Reference
+    _initio                                   - Undefined Weak Reference
+    _signal_finish                            - Undefined Weak Reference
+    _signal_init                              - Undefined Weak Reference
+    _terminate_alloc                          - Undefined Weak Reference
+    _terminate_user_alloc                     - Undefined Weak Reference
+    _terminateio                              - Undefined Weak Reference
+    __main                                   0x000000c5   Thumb Code     8  __main.o(!!!main)
+    __scatterload                            0x000000cd   Thumb Code     0  __scatter.o(!!!scatter)
+    __scatterload_rt2                        0x000000cd   Thumb Code    44  __scatter.o(!!!scatter)
+    __scatterload_rt2_thumb_only             0x000000cd   Thumb Code     0  __scatter.o(!!!scatter)
+    __scatterload_null                       0x000000db   Thumb Code     0  __scatter.o(!!!scatter)
+    __decompress                             0x00000101   Thumb Code    90  __dczerorl2.o(!!dczerorl2)
+    __decompress1                            0x00000101   Thumb Code     0  __dczerorl2.o(!!dczerorl2)
+    __scatterload_zeroinit                   0x0000015d   Thumb Code    28  __scatter_zi.o(!!handler_zi)
+    __rt_lib_init                            0x00000179   Thumb Code     0  libinit.o(.ARM.Collect$$libinit$$00000000)
+    __rt_lib_init_fp_1                       0x0000017b   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$00000002)
+    __rt_lib_init_heap_1                     0x0000017b   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$0000000A)
+    __rt_lib_init_preinit_1                  0x0000017b   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$00000004)
+    __rt_lib_init_rand_2                     0x0000017b   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$0000000D)
+    __rt_lib_init_user_alloc_1               0x0000017b   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$0000000C)
+    __rt_lib_init_alloca_1                   0x0000017f   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$0000002E)
+    __rt_lib_init_argv_1                     0x0000017f   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$0000002C)
+    __rt_lib_init_atexit_1                   0x0000017f   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$0000001B)
+    __rt_lib_init_clock_1                    0x0000017f   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$00000021)
+    __rt_lib_init_cpp_1                      0x0000017f   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$00000032)
+    __rt_lib_init_exceptions_1               0x0000017f   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$00000030)
+    __rt_lib_init_fp_trap_1                  0x0000017f   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$0000001F)
+    __rt_lib_init_getenv_1                   0x0000017f   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$00000023)
+    __rt_lib_init_lc_collate_1               0x0000017f   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$00000011)
+    __rt_lib_init_lc_ctype_1                 0x0000017f   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$00000013)
+    __rt_lib_init_lc_monetary_1              0x0000017f   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$00000015)
+    __rt_lib_init_lc_numeric_1               0x0000017f   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$00000017)
+    __rt_lib_init_lc_time_1                  0x0000017f   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$00000019)
+    __rt_lib_init_rand_1                     0x0000017f   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$0000000E)
+    __rt_lib_init_return                     0x0000017f   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$00000033)
+    __rt_lib_init_signal_1                   0x0000017f   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$0000001D)
+    __rt_lib_init_stdio_1                    0x0000017f   Thumb Code     0  libinit2.o(.ARM.Collect$$libinit$$00000025)
+    __rt_lib_shutdown                        0x00000181   Thumb Code     0  libshutdown.o(.ARM.Collect$$libshutdown$$00000000)
+    __rt_lib_shutdown_fp_trap_1              0x00000183   Thumb Code     0  libshutdown2.o(.ARM.Collect$$libshutdown$$00000006)
+    __rt_lib_shutdown_heap_1                 0x00000183   Thumb Code     0  libshutdown2.o(.ARM.Collect$$libshutdown$$0000000E)
+    __rt_lib_shutdown_return                 0x00000183   Thumb Code     0  libshutdown2.o(.ARM.Collect$$libshutdown$$0000000F)
+    __rt_lib_shutdown_signal_1               0x00000183   Thumb Code     0  libshutdown2.o(.ARM.Collect$$libshutdown$$00000009)
+    __rt_lib_shutdown_stdio_1                0x00000183   Thumb Code     0  libshutdown2.o(.ARM.Collect$$libshutdown$$00000003)
+    __rt_lib_shutdown_user_alloc_1           0x00000183   Thumb Code     0  libshutdown2.o(.ARM.Collect$$libshutdown$$0000000B)
+    __rt_entry                               0x00000185   Thumb Code     0  __rtentry.o(.ARM.Collect$$rtentry$$00000000)
+    __rt_entry_presh_1                       0x00000185   Thumb Code     0  __rtentry2.o(.ARM.Collect$$rtentry$$00000002)
+    __rt_entry_sh                            0x00000185   Thumb Code     0  __rtentry4.o(.ARM.Collect$$rtentry$$00000004)
+    __rt_entry_li                            0x0000018b   Thumb Code     0  __rtentry2.o(.ARM.Collect$$rtentry$$0000000A)
+    __rt_entry_postsh_1                      0x0000018b   Thumb Code     0  __rtentry2.o(.ARM.Collect$$rtentry$$00000009)
+    __rt_entry_main                          0x0000018f   Thumb Code     0  __rtentry2.o(.ARM.Collect$$rtentry$$0000000D)
+    __rt_entry_postli_1                      0x0000018f   Thumb Code     0  __rtentry2.o(.ARM.Collect$$rtentry$$0000000C)
+    __rt_exit                                0x00000197   Thumb Code     0  rtexit.o(.ARM.Collect$$rtexit$$00000000)
+    __rt_exit_ls                             0x00000199   Thumb Code     0  rtexit2.o(.ARM.Collect$$rtexit$$00000003)
+    __rt_exit_prels_1                        0x00000199   Thumb Code     0  rtexit2.o(.ARM.Collect$$rtexit$$00000002)
+    __rt_exit_exit                           0x0000019d   Thumb Code     0  rtexit2.o(.ARM.Collect$$rtexit$$00000004)
+    rand                                     0x000001a5   Thumb Code    48  rand.o(.emb_text)
+    Reset_Handler                            0x000001d9   Thumb Code     8  startup_lpc17xx.o(.text)
+    NMI_Handler                              0x000001e1   Thumb Code     2  startup_lpc17xx.o(.text)
+    HardFault_Handler                        0x000001e3   Thumb Code     2  startup_lpc17xx.o(.text)
+    MemManage_Handler                        0x000001e5   Thumb Code     2  startup_lpc17xx.o(.text)
+    BusFault_Handler                         0x000001e7   Thumb Code     2  startup_lpc17xx.o(.text)
+    UsageFault_Handler                       0x000001e9   Thumb Code     2  startup_lpc17xx.o(.text)
+    SVC_Handler                              0x000001eb   Thumb Code     2  startup_lpc17xx.o(.text)
+    DebugMon_Handler                         0x000001ed   Thumb Code     2  startup_lpc17xx.o(.text)
+    PendSV_Handler                           0x000001ef   Thumb Code     2  startup_lpc17xx.o(.text)
+    SysTick_Handler                          0x000001f1   Thumb Code     2  startup_lpc17xx.o(.text)
+    ADC_IRQHandler                           0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    BOD_IRQHandler                           0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    CAN_IRQHandler                           0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    DMA_IRQHandler                           0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    EINT0_IRQHandler                         0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    EINT1_IRQHandler                         0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    EINT2_IRQHandler                         0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    ENET_IRQHandler                          0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    I2C0_IRQHandler                          0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    I2C1_IRQHandler                          0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    I2C2_IRQHandler                          0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    I2S_IRQHandler                           0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    MCPWM_IRQHandler                         0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    PLL0_IRQHandler                          0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    PLL1_IRQHandler                          0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    PWM1_IRQHandler                          0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    RIT_IRQHandler                           0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    RTC_IRQHandler                           0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    SPI_IRQHandler                           0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    SSP0_IRQHandler                          0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    SSP1_IRQHandler                          0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    UART0_IRQHandler                         0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    UART1_IRQHandler                         0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    UART2_IRQHandler                         0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    UART3_IRQHandler                         0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    USB_IRQHandler                           0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    WDT_IRQHandler                           0x000001f3   Thumb Code     0  startup_lpc17xx.o(.text)
+    __user_initial_stackheap                 0x000001f5   Thumb Code     0  startup_lpc17xx.o(.text)
+    SystemCoreClockUpdate                    0x00000215   Thumb Code   306  system_lpc17xx.o(.text)
+    SystemInit                               0x00000347   Thumb Code   294  system_lpc17xx.o(.text)
+    init_Vibro                               0x00000489   Thumb Code    48  vibro.o(.text)
+    VibroOut                                 0x000004b9   Thumb Code    96  vibro.o(.text)
+    CalcAmpN                                 0x00000519   Thumb Code   246  vibro.o(.text)
+    cheng                                    0x0000060f   Thumb Code   272  vibro.o(.text)
+    Latch_Event                              0x00000779   Thumb Code    84  cyclessync.o(.text)
+    QEI_IRQHandler                           0x000007cd   Thumb Code    58  cyclessync.o(.text)
+    SetIntLatch                              0x00000807   Thumb Code    18  cyclessync.o(.text)
+    SwitchRefMeandInt                        0x00000819   Thumb Code    30  cyclessync.o(.text)
+    EINT3_IRQHandler                         0x00000837   Thumb Code    34  cyclessync.o(.text)
+    IntLatch_IRQHandler                      0x00000859   Thumb Code    24  cyclessync.o(.text)
+    SwitchMode                               0x00000871   Thumb Code   198  cyclessync.o(.text)
+    ServiceTime                              0x00000937   Thumb Code    90  cyclessync.o(.text)
+    WDTFeed                                  0x00000991   Thumb Code    12  cyclessync.o(.text)
+    CounterIquiryCycle_Init                  0x0000099d   Thumb Code    80  cyclessync.o(.text)
+    TIMER0_IRQHandler                        0x000009ed   Thumb Code   102  cyclessync.o(.text)
+    ExtLatch_Init                            0x00000a53   Thumb Code   144  cyclessync.o(.text)
+    IntLatch_Init                            0x00000ae3   Thumb Code    74  cyclessync.o(.text)
+    WDTInit                                  0x00000b2d   Thumb Code    32  cyclessync.o(.text)
+    SetSpeedPeriod                           0x00000bf1   Thumb Code    66  commandset.o(.text)
+    B_Delta_BINS                             0x00000c33   Thumb Code    38  commandset.o(.text)
+    B_Delta_SF                               0x00000c59   Thumb Code    72  commandset.o(.text)
+    B_Delta_PS_execution                     0x00000ca1   Thumb Code   142  commandset.o(.text)
+    M_Mirror                                 0x00000d2f   Thumb Code    52  commandset.o(.text)
+    Mk_Ask1                                  0x00000d63   Thumb Code    38  commandset.o(.text)
+    Mk_AskDev                                0x00000d89   Thumb Code    38  commandset.o(.text)
+    GLD_Output                               0x00000daf   Thumb Code    96  commandset.o(.text)
+    B_Dev_Mode                               0x00000e0f   Thumb Code    22  commandset.o(.text)
+    B_BIT_Mode                               0x00000e25   Thumb Code    98  commandset.o(.text)
+    M_Stimul                                 0x00000e87   Thumb Code    60  commandset.o(.text)
+    M_Status                                 0x00000ec3   Thumb Code    48  commandset.o(.text)
+    M_Clear                                  0x00000ef3   Thumb Code     8  commandset.o(.text)
+    M_Tmp_W                                  0x00000efb   Thumb Code     8  commandset.o(.text)
+    M_Tmp_R                                  0x00000f03   Thumb Code     2  commandset.o(.text)
+    M_ADC_R                                  0x00000f05   Thumb Code    54  commandset.o(.text)
+    M_Cnt_R                                  0x00000f3b   Thumb Code    48  commandset.o(.text)
+    M_Ctl_R                                  0x00000f6b   Thumb Code    66  commandset.o(.text)
+    M_Ctl_M                                  0x00000fad   Thumb Code   242  commandset.o(.text)
+    M_Flg_R                                  0x0000109f   Thumb Code    26  commandset.o(.text)
+    M_Vib_W                                  0x000010b9   Thumb Code    52  commandset.o(.text)
+    M_Gph_W                                  0x000010ed   Thumb Code    46  commandset.o(.text)
+    M_Rate                                   0x0000111b   Thumb Code   386  commandset.o(.text)
+    M_Reset                                  0x0000129d   Thumb Code     4  commandset.o(.text)
+    M_Param_R                                0x000012a1   Thumb Code    34  commandset.o(.text)
+    M_Param_W                                0x000012c3   Thumb Code    42  commandset.o(.text)
+    M_LdPar_F                                0x000012ed   Thumb Code    30  commandset.o(.text)
+    M_LdPar_D                                0x0000130b   Thumb Code    14  commandset.o(.text)
+    M_Start                                  0x00001319   Thumb Code    20  commandset.o(.text)
+    M_Stop                                   0x0000132d   Thumb Code    20  commandset.o(.text)
+    M_Pulse                                  0x00001341   Thumb Code    20  commandset.o(.text)
+    B_Rate                                   0x00001355   Thumb Code     2  commandset.o(.text)
+    B_Delta                                  0x00001357   Thumb Code     2  commandset.o(.text)
+    D_Period_W                               0x00001359   Thumb Code     2  commandset.o(.text)
+    exec_CMD                                 0x0000135b   Thumb Code   750  commandset.o(.text)
+    decode_CMD                               0x00001649   Thumb Code   208  commandset.o(.text)
+    VibroDither_Set                          0x00001759   Thumb Code    26  dither_reg.o(.text)
+    VibroDither_SwitchOn                     0x00001773   Thumb Code    10  dither_reg.o(.text)
+    VibroDither_SwitchOff                    0x0000177d   Thumb Code    10  dither_reg.o(.text)
+    VB_MeanderDelay                          0x00001787   Thumb Code   164  dither_reg.o(.text)
+    VB_PhaseDetectorRate                     0x0000182b   Thumb Code    40  dither_reg.o(.text)
+    clc_Dith_regulator                       0x00001853   Thumb Code   664  dither_reg.o(.text)
+    clc_Noise_regulator                      0x00001aeb   Thumb Code   546  dither_reg.o(.text)
+    clc_OutFreq_regulator                    0x00001d0d   Thumb Code   574  dither_reg.o(.text)
+    VibroDither_Init                         0x00001f4b   Thumb Code   240  dither_reg.o(.text)
+    init_Dither_reg                          0x0000203b   Thumb Code    98  dither_reg.o(.text)
+    check_lcc                                0x000020b9   Thumb Code    54  el_lin.o(.text)
+    PacketSafing                             0x000020ef   Thumb Code     2  el_lin.o(.text)
+    Line_1_Rcv                               0x000020f1   Thumb Code   354  el_lin.o(.text)
+    transm_DAT                               0x00002253   Thumb Code   396  el_lin.o(.text)
+    DMA_Init                                 0x000023df   Thumb Code    60  el_lin.o(.text)
+    UARTInit                                 0x0000241b   Thumb Code   124  el_lin.o(.text)
+    UART1_Init                               0x00002497   Thumb Code   198  el_lin.o(.text)
+    UART1_SendByte                           0x0000255d   Thumb Code     6  el_lin.o(.text)
+    UART_SwitchSpeed                         0x00002563   Thumb Code   132  el_lin.o(.text)
+    UART_DMA_Init                            0x000025e7   Thumb Code   258  el_lin.o(.text)
+    Led_init                                 0x00002719   Thumb Code    24  main.o(.text)
+    Led_Blink                                0x00002731   Thumb Code    38  main.o(.text)
+    main                                     0x00002757   Thumb Code   854  main.o(.text)
+    init_PLC                                 0x00002b85   Thumb Code   300  plc_reg.o(.text)
+    PLC_MeanderDelay                         0x00002cb1   Thumb Code   110  plc_reg.o(.text)
+    WP_PhaseDetectorRate                     0x00002d1f   Thumb Code    42  plc_reg.o(.text)
+    clc_PLC                                  0x00002d49   Thumb Code  1030  plc_reg.o(.text)
+    Signal_2_Oscill                          0x0000314f   Thumb Code    10  plc_reg.o(.text)
+    clc_WP_sin                               0x00003159   Thumb Code    50  plc_reg.o(.text)
+    clc_HFO                                  0x000031c9   Thumb Code   368  hfo_reg.o(.text)
+    StaticTermoCompens                       0x0000335d   Thumb Code   102  thermocalc.o(.text)
+    DynamicDeltaCalc                         0x000033c3   Thumb Code   212  thermocalc.o(.text)
+    clc_ThermoSensors                        0x00003497   Thumb Code   648  thermocalc.o(.text)
+    DithFreqRangeCalc                        0x0000371f   Thumb Code   264  thermocalc.o(.text)
+    GLD_Stop                                 0x00003839   Thumb Code    34  cntrlgld.o(.text)
+    GLD_Status                               0x0000385b   Thumb Code    62  cntrlgld.o(.text)
+    Sts_Pause                                0x00003899   Thumb Code    66  cntrlgld.o(.text)
+    Sts_Pulse                                0x000038db   Thumb Code    78  cntrlgld.o(.text)
+    Sts_Polling                              0x00003929   Thumb Code   266  cntrlgld.o(.text)
+    GLD_Start                                0x00003a33   Thumb Code    80  cntrlgld.o(.text)
+    GLD_Pulse                                0x00003a83   Thumb Code    72  cntrlgld.o(.text)
+    contrl_GLD                               0x00003acb   Thumb Code    24  cntrlgld.o(.text)
+    init_VibroReduce                         0x00003b39   Thumb Code   202  mathdsp.o(.text)
+    VibroReduce                              0x00003c03   Thumb Code   242  mathdsp.o(.text)
+    DUP_Filt                                 0x00003cf5   Thumb Code   148  mathdsp.o(.text)
+    PLC_PhaseDetFilt                         0x00003d89   Thumb Code   220  mathdsp.o(.text)
+    init_BandPass                            0x00003e65   Thumb Code   686  mathdsp.o(.text)
+    HFO_MovAverFilt                          0x00004113   Thumb Code    96  mathdsp.o(.text)
+    G_Photo_Exchange                         0x00004199   Thumb Code   304  inputoutput.o(.text)
+    DAC_ADC_Exchange                         0x000042c9   Thumb Code    98  inputoutput.o(.text)
+    ADC_Input                                0x0000432b   Thumb Code    96  inputoutput.o(.text)
+    DAC_Output                               0x0000438b   Thumb Code     2  inputoutput.o(.text)
+    G_Photo_Init                             0x0000438d   Thumb Code    76  inputoutput.o(.text)
+    DAC_ADC_Exchange_Init                    0x000043d9   Thumb Code   214  inputoutput.o(.text)
+    DACInit                                  0x000044af   Thumb Code    22  inputoutput.o(.text)
+    Out_G_photo                              0x000044c5   Thumb Code    20  inputoutput.o(.text)
+    ResetBitsOfWord                          0x00004511   Thumb Code    20  sip.o(.text)
+    interpolation                            0x00004525   Thumb Code    66  sip.o(.text)
+    clc_Pulses                               0x00004567   Thumb Code   684  sip.o(.text)
+    SOI_Init                                 0x00004813   Thumb Code   154  sip.o(.text)
+    init_DefaultParam                        0x00004939   Thumb Code   278  parameters.o(.text)
+    FlashDMA_Init                            0x00004a4f   Thumb Code    52  parameters.o(.text)
+    LoadFlashParam                           0x00004a83   Thumb Code   114  parameters.o(.text)
+    UART0_Init_m                             0x00004b15   Thumb Code    70  console.o(.text)
+    UART0_SendByte_m                         0x00004b5b   Thumb Code     6  console.o(.text)
+    ClearBuffout                             0x00004b61   Thumb Code    12  console.o(.text)
+    SendToBuffByte                           0x00004b6d   Thumb Code    34  console.o(.text)
+    SendToBuff                               0x00004b8f   Thumb Code    48  console.o(.text)
+    SendToBuffStr                            0x00004bbf   Thumb Code    44  console.o(.text)
+    TakeFromBuff                             0x00004beb   Thumb Code    56  console.o(.text)
+    ClearBuffIn                              0x00004c23   Thumb Code    12  console.o(.text)
+    BuffDataReady                            0x00004c2f   Thumb Code    18  console.o(.text)
+    ReadDataInBuff                           0x00004c41   Thumb Code    40  console.o(.text)
+    D_QEI                                    0x00004c89   Thumb Code   666  qei.o(.text)
+    VibroPush                                0x00004f71   Thumb Code    14  global.o(.text)
+    VibroPull                                0x00004f7f   Thumb Code    10  global.o(.text)
+    ButtonLightUP                            0x00004f89   Thumb Code    40  global.o(.text)
+    init_timer                               0x00004fc5   Thumb Code    24  mtimer.o(.text)
+    enable_timer1                            0x00004fdd   Thumb Code     8  mtimer.o(.text)
+    TIMER1_IRQHandler                        0x00004fe5   Thumb Code   112  mtimer.o(.text)
+    Init_TIM2                                0x00005055   Thumb Code    20  mtimer.o(.text)
+    enable_timer2                            0x00005069   Thumb Code    10  mtimer.o(.text)
+    TIMER2_IRQHandler                        0x00005073   Thumb Code    54  mtimer.o(.text)
+    DACLInit                                 0x000050f1   Thumb Code    10  spi.o(.text)
+    SPI_Exchange                             0x000050fb   Thumb Code   436  spi.o(.text)
+    Init_SPI_Exchange                        0x000052af   Thumb Code    34  spi.o(.text)
+    Check                                    0x00005325   Thumb Code    78  command.o(.text)
+    CMD_Maintenance                          0x00005373   Thumb Code    60  command.o(.text)
+    CMD_Rate                                 0x000053af   Thumb Code   464  command.o(.text)
+    CMD_M_Control_D8                         0x0000557f   Thumb Code   342  command.o(.text)
+    CMD_M_Control_D9                         0x000056d5   Thumb Code   128  command.o(.text)
+    CMD_M_Stymul                             0x00005755   Thumb Code    78  command.o(.text)
+    Getlengf                                 0x000057a3   Thumb Code   108  command.o(.text)
+    Read_CMD                                 0x0000580f   Thumb Code   352  command.o(.text)
+    UART0_Init                               0x000059a9   Thumb Code    80  uart_m.o(.text)
+    UART1_Init_temp                          0x000059f9   Thumb Code    80  uart_m.o(.text)
+    UART2_Init                               0x00005a49   Thumb Code    86  uart_m.o(.text)
+    UART3_Init                               0x00005a9f   Thumb Code    98  uart_m.o(.text)
+    UART2_InitSet                            0x00005b01   Thumb Code    98  uart_m.o(.text)
+    UART3_InitSet                            0x00005b63   Thumb Code    98  uart_m.o(.text)
+    UART_InitSet                             0x00005bc5   Thumb Code   328  uart_m.o(.text)
+    UART0_SendByte                           0x00005d0d   Thumb Code    20  uart_m.o(.text)
+    UART0_GetChar                            0x00005d21   Thumb Code    20  uart_m.o(.text)
+    UART1_GetChar                            0x00005d35   Thumb Code    20  uart_m.o(.text)
+    UART2_GetChar                            0x00005d49   Thumb Code    20  uart_m.o(.text)
+    UART3_GetChar                            0x00005d5d   Thumb Code    20  uart_m.o(.text)
+    UART0_Chek                               0x00005d71   Thumb Code    18  uart_m.o(.text)
+    UART1_Chek                               0x00005d83   Thumb Code    66  uart_m.o(.text)
+    UART2_Chek                               0x00005dc5   Thumb Code    18  uart_m.o(.text)
+    UART3_Chek                               0x00005dd7   Thumb Code    18  uart_m.o(.text)
+    UART0_SendString                         0x00005de9   Thumb Code    22  uart_m.o(.text)
+    UART2_SendByte                           0x00005dff   Thumb Code    20  uart_m.o(.text)
+    UART0_SendChar                           0x00005e13   Thumb Code   124  uart_m.o(.text)
+    Delay                                    0x00005e8f   Thumb Code     2  uart_m.o(.text)
+    ClrDeUART0                               0x00005e91   Thumb Code    36  uart_m.o(.text)
+    SetDeUART0                               0x00005eb5   Thumb Code    36  uart_m.o(.text)
+    Concol                                   0x00005ed9   Thumb Code   130  uart_m.o(.text)
+    Concol1                                  0x00005f5b   Thumb Code   102  uart_m.o(.text)
+    ClrDeUART1                               0x00005fc1   Thumb Code    38  uart_m.o(.text)
+    SetDeUART1                               0x00005fe7   Thumb Code    38  uart_m.o(.text)
+    Concol1Fast                              0x0000600d   Thumb Code   138  uart_m.o(.text)
+    ClrDeUART2                               0x00006097   Thumb Code    38  uart_m.o(.text)
+    SetDeUART2                               0x000060bd   Thumb Code    38  uart_m.o(.text)
+    Concol2                                  0x000060e3   Thumb Code   130  uart_m.o(.text)
+    ClrDeUART3                               0x00006165   Thumb Code    38  uart_m.o(.text)
+    SetDeUART3                               0x0000618b   Thumb Code   130  uart_m.o(.text)
+    Concol3                                  0x0000620d   Thumb Code   130  uart_m.o(.text)
+    Concol2M                                 0x0000628f   Thumb Code   130  uart_m.o(.text)
+    WriteCon                                 0x00006311   Thumb Code    48  uart_m.o(.text)
+    WriteCon1                                0x00006341   Thumb Code    48  uart_m.o(.text)
+    WriteConN                                0x00006371   Thumb Code    50  uart_m.o(.text)
+    WriteCon2                                0x000063a3   Thumb Code    48  uart_m.o(.text)
+    WriteCon3                                0x000063d3   Thumb Code    48  uart_m.o(.text)
+    WriteConF                                0x00006403   Thumb Code    46  uart_m.o(.text)
+    WriteConByte                             0x00006431   Thumb Code    30  uart_m.o(.text)
+    WriteCon1Byte                            0x0000644f   Thumb Code    30  uart_m.o(.text)
+    WriteCon2Byte                            0x0000646d   Thumb Code    30  uart_m.o(.text)
+    WriteCon3Byte                            0x0000648b   Thumb Code    30  uart_m.o(.text)
+    WriteConByteF                            0x000064a9   Thumb Code    46  uart_m.o(.text)
+    ReadCon                                  0x000064d7   Thumb Code    58  uart_m.o(.text)
+    ReadCon1                                 0x00006511   Thumb Code    58  uart_m.o(.text)
+    ReadCon2                                 0x0000654b   Thumb Code    58  uart_m.o(.text)
+    ReadCon3                                 0x00006585   Thumb Code    58  uart_m.o(.text)
+    ReadChekCon                              0x000065bf   Thumb Code   162  uart_m.o(.text)
+    ReadChekCon1                             0x00006661   Thumb Code    42  uart_m.o(.text)
+    ReadChekCon2                             0x0000668b   Thumb Code    42  uart_m.o(.text)
+    ReadChekCon3                             0x000066b5   Thumb Code    42  uart_m.o(.text)
+    ChekInCon                                0x000066df   Thumb Code    20  uart_m.o(.text)
+    ChekInCon1                               0x000066f3   Thumb Code    20  uart_m.o(.text)
+    ChekInCon2                               0x00006707   Thumb Code    20  uart_m.o(.text)
+    ChekInCon3                               0x0000671b   Thumb Code    20  uart_m.o(.text)
+    ChekOutCon                               0x0000672f   Thumb Code    18  uart_m.o(.text)
+    ChekOutCon1                              0x00006741   Thumb Code    18  uart_m.o(.text)
+    ChekOutCon2                              0x00006753   Thumb Code    18  uart_m.o(.text)
+    ChekOutCon3                              0x00006765   Thumb Code    18  uart_m.o(.text)
+    __aeabi_ldivmod                          0x000067b5   Thumb Code     0  llsdiv.o(.text)
+    _ll_sdiv                                 0x000067b5   Thumb Code    72  llsdiv.o(.text)
+    srand                                    0x000067fd   Thumb Code    42  rand.o(.text)
+    _rand_init                               0x00006827   Thumb Code     4  rand.o(.text)
+    __aeabi_memcpy                           0x00006839   Thumb Code     0  rt_memcpy_v6.o(.text)
+    __rt_memcpy                              0x00006839   Thumb Code   138  rt_memcpy_v6.o(.text)
+    _memcpy_lastbytes                        0x0000689f   Thumb Code     0  rt_memcpy_v6.o(.text)
+    __use_two_region_memory                  0x000068c3   Thumb Code     2  heapauxi.o(.text)
+    __rt_heap_escrow$2region                 0x000068c5   Thumb Code     2  heapauxi.o(.text)
+    __rt_heap_expand$2region                 0x000068c7   Thumb Code     2  heapauxi.o(.text)
+    __aeabi_uldivmod                         0x000068c9   Thumb Code     0  lludivv7m.o(.text)
+    _ll_udiv                                 0x000068c9   Thumb Code   238  lludivv7m.o(.text)
+    __read_errno                             0x000069b7   Thumb Code    10  _rserrno.o(.text)
+    __set_errno                              0x000069c1   Thumb Code    12  _rserrno.o(.text)
+    __aeabi_memcpy4                          0x000069cd   Thumb Code     0  rt_memcpy_w.o(.text)
+    __aeabi_memcpy8                          0x000069cd   Thumb Code     0  rt_memcpy_w.o(.text)
+    __rt_memcpy_w                            0x000069cd   Thumb Code   100  rt_memcpy_w.o(.text)
+    _memcpy_lastbytes_aligned                0x00006a15   Thumb Code     0  rt_memcpy_w.o(.text)
+    __aeabi_errno_addr                       0x00006a31   Thumb Code     8  rt_errno_addr_intlibspace.o(.text)
+    __errno$intlibspace                      0x00006a31   Thumb Code     0  rt_errno_addr_intlibspace.o(.text)
+    __rt_errno_addr$intlibspace              0x00006a31   Thumb Code     0  rt_errno_addr_intlibspace.o(.text)
+    __user_libspace                          0x00006a39   Thumb Code     8  libspace.o(.text)
+    __user_perproc_libspace                  0x00006a39   Thumb Code     0  libspace.o(.text)
+    __user_perthread_libspace                0x00006a39   Thumb Code     0  libspace.o(.text)
+    __user_setup_stackheap                   0x00006a41   Thumb Code    74  sys_stackheap_outer.o(.text)
+    exit                                     0x00006a8b   Thumb Code    12  exit.o(.text)
+    __I$use$semihosting                      0x00006a97   Thumb Code     0  use_no_semi.o(.text)
+    __use_no_semihosting_swi                 0x00006a97   Thumb Code     2  use_no_semi.o(.text)
+    __semihosting_library_function           0x00006a99   Thumb Code     0  indicate_semi.o(.text)
+    _sys_exit                                0x00006a99   Thumb Code     8  sys_exit.o(.text)
+    Max_Saturation                           0x00006aa5   Thumb Code    12  thermocalc.o(i.Max_Saturation)
+    __ARM_fpclassify                         0x00006ab1   Thumb Code    40  fpclassify.o(i.__ARM_fpclassify)
+    __ieee754_rem_pio2                       0x00006ad9   Thumb Code   828  rred.o(i.__ieee754_rem_pio2)
+    __kernel_cos                             0x00006e61   Thumb Code   230  cos_i.o(i.__kernel_cos)
+    __kernel_poly                            0x00006f5d   Thumb Code   170  poly.o(i.__kernel_poly)
+    __kernel_sin                             0x00007009   Thumb Code   224  sin_i.o(i.__kernel_sin)
+    __mathlib_dbl_infnan                     0x000070f5   Thumb Code     6  dunder.o(i.__mathlib_dbl_infnan)
+    __mathlib_dbl_invalid                    0x000070fb   Thumb Code    12  dunder.o(i.__mathlib_dbl_invalid)
+    __mathlib_dbl_underflow                  0x00007109   Thumb Code    10  dunder.o(i.__mathlib_dbl_underflow)
+    cos                                      0x00007119   Thumb Code   148  cos.o(i.cos)
+    sin                                      0x000071b5   Thumb Code   150  sin.o(i.sin)
+    __aeabi_d2f                              0x00007255   Thumb Code     0  d2f.o(x$fpl$d2f)
+    _d2f                                     0x00007255   Thumb Code    98  d2f.o(x$fpl$d2f)
+    __aeabi_dadd                             0x000072b9   Thumb Code     0  daddsub_clz.o(x$fpl$dadd)
+    _dadd                                    0x000072b9   Thumb Code   332  daddsub_clz.o(x$fpl$dadd)
+    __fpl_dcheck_NaN1                        0x00007409   Thumb Code    10  dcheck1.o(x$fpl$dcheck1)
+    __aeabi_ddiv                             0x00007419   Thumb Code     0  ddiv.o(x$fpl$ddiv)
+    _ddiv                                    0x00007419   Thumb Code   552  ddiv.o(x$fpl$ddiv)
+    __aeabi_d2iz                             0x000076c9   Thumb Code     0  dfix.o(x$fpl$dfix)
+    _dfix                                    0x000076c9   Thumb Code    94  dfix.o(x$fpl$dfix)
+    __aeabi_i2d                              0x00007727   Thumb Code     0  dflt_clz.o(x$fpl$dflt)
+    _dflt                                    0x00007727   Thumb Code    46  dflt_clz.o(x$fpl$dflt)
+    __aeabi_ui2d                             0x00007755   Thumb Code     0  dflt_clz.o(x$fpl$dfltu)
+    _dfltu                                   0x00007755   Thumb Code    38  dflt_clz.o(x$fpl$dfltu)
+    __aeabi_dmul                             0x0000777d   Thumb Code     0  dmul.o(x$fpl$dmul)
+    _dmul                                    0x0000777d   Thumb Code   332  dmul.o(x$fpl$dmul)
+    __fpl_dnaninf                            0x000078d1   Thumb Code   156  dnaninf.o(x$fpl$dnaninf)
+    __fpl_dretinf                            0x0000796d   Thumb Code    12  dretinf.o(x$fpl$dretinf)
+    __aeabi_drsub                            0x00007979   Thumb Code     0  daddsub_clz.o(x$fpl$drsb)
+    _drsb                                    0x00007979   Thumb Code    22  daddsub_clz.o(x$fpl$drsb)
+    __aeabi_dsub                             0x00007991   Thumb Code     0  daddsub_clz.o(x$fpl$dsub)
+    _dsub                                    0x00007991   Thumb Code   464  daddsub_clz.o(x$fpl$dsub)
+    __aeabi_f2d                              0x00007b65   Thumb Code     0  f2d.o(x$fpl$f2d)
+    _f2d                                     0x00007b65   Thumb Code    86  f2d.o(x$fpl$f2d)
+    __aeabi_fadd                             0x00007bbd   Thumb Code     0  faddsub_clz.o(x$fpl$fadd)
+    _fadd                                    0x00007bbd   Thumb Code   196  faddsub_clz.o(x$fpl$fadd)
+    __aeabi_f2iz                             0x00007c81   Thumb Code     0  ffix.o(x$fpl$ffix)
+    _ffix                                    0x00007c81   Thumb Code    54  ffix.o(x$fpl$ffix)
+    __aeabi_f2uiz                            0x00007cb9   Thumb Code     0  ffixu.o(x$fpl$ffixu)
+    _ffixu                                   0x00007cb9   Thumb Code    62  ffixu.o(x$fpl$ffixu)
+    __aeabi_i2f                              0x00007cf9   Thumb Code     0  fflt_clz.o(x$fpl$fflt)
+    _fflt                                    0x00007cf9   Thumb Code    48  fflt_clz.o(x$fpl$fflt)
+    __aeabi_ui2f                             0x00007d29   Thumb Code     0  fflt_clz.o(x$fpl$ffltu)
+    _ffltu                                   0x00007d29   Thumb Code    38  fflt_clz.o(x$fpl$ffltu)
+    __aeabi_fmul                             0x00007d51   Thumb Code     0  fmul.o(x$fpl$fmul)
+    _fmul                                    0x00007d51   Thumb Code   258  fmul.o(x$fpl$fmul)
+    __fpl_fnaninf                            0x00007e53   Thumb Code   140  fnaninf.o(x$fpl$fnaninf)
+    __fpl_fretinf                            0x00007edf   Thumb Code    10  fretinf.o(x$fpl$fretinf)
+    __aeabi_frsub                            0x00007ee9   Thumb Code     0  faddsub_clz.o(x$fpl$frsb)
+    _frsb                                    0x00007ee9   Thumb Code    20  faddsub_clz.o(x$fpl$frsb)
+    __aeabi_fsub                             0x00007efd   Thumb Code     0  faddsub_clz.o(x$fpl$fsub)
+    _fsub                                    0x00007efd   Thumb Code   234  faddsub_clz.o(x$fpl$fsub)
+    __fpl_return_NaN                         0x00007fe7   Thumb Code   100  retnan.o(x$fpl$retnan)
+    __ARM_scalbn                             0x0000804b   Thumb Code    92  scalbn.o(x$fpl$scalbn)
+    __fpl_cmpreturn                          0x000080a7   Thumb Code    48  trapv.o(x$fpl$trapveneer)
+    __I$use$fp                               0x000080d6   Number         0  usenofp.o(x$fpl$usenofp)
+    Region$$Table$$Base                      0x000081f8   Number         0  anon$$obj.o(Region$$Table)
+    Region$$Table$$Limit                     0x00008228   Number         0  anon$$obj.o(Region$$Table)
+    Sys_Clock                                0x10000000   Data           4  cyclessync.o(.data)
+    Seconds                                  0x10000004   Data           4  cyclessync.o(.data)
+    time_1_Sec                               0x10000008   Data           4  cyclessync.o(.data)
+    trm_cycl                                 0x1000000c   Data           4  cyclessync.o(.data)
+    count                                    0x10000010   Data           4  cyclessync.o(.data)
+    PrevPeriod                               0x10000014   Data           4  cyclessync.o(.data)
+    num                                      0x10000018   Data           4  cyclessync.o(.data)
+    Latch_Rdy                                0x1000001c   Data           4  cyclessync.o(.data)
+    LatchPhase                               0x10000020   Data           4  cyclessync.o(.data)
+    Ext_Latch_ResetEnable                    0x10000024   Data           4  cyclessync.o(.data)
+    data_Rdy                                 0x10000028   Data           4  cyclessync.o(.data)
+    Delay_UART_Enbl                          0x1000002c   Data           4  cyclessync.o(.data)
+    main_cycle_latch                         0x10000030   Data           4  cyclessync.o(.data)
+    Out_main_cycle_latch                     0x10000034   Data           4  cyclessync.o(.data)
+    T_latch                                  0x10000038   Data           4  cyclessync.o(.data)
+    Out_T_latch                              0x1000003c   Data           4  cyclessync.o(.data)
+    temp_T_latch                             0x10000040   Data           4  cyclessync.o(.data)
+    WP_reg32                                 0x1000004c   Data           4  plc_reg.o(.data)
+    WP_Phase_Det                             0x10000050   Data           4  plc_reg.o(.data)
+    WP_reset_heating                         0x10000054   Data           4  plc_reg.o(.data)
+    WP_reset_cooling                         0x10000058   Data           4  plc_reg.o(.data)
+    MaxDelayPLC                              0x1000005c   Data           4  plc_reg.o(.data)
+    phase_Digital                            0x10000060   Data           4  plc_reg.o(.data)
+    TermoCompens_Sum                         0x1000008c   Data           4  thermocalc.o(.data)
+    IsHeating                                0x10000090   Data           4  thermocalc.o(.data)
+    TermoCompDelta                           0x10000094   Data           4  thermocalc.o(.data)
+    Temp_Aver                                0x10000098   Data           4  thermocalc.o(.data)
+    TempEvolution                            0x1000009c   Data           4  thermocalc.o(.data)
+    StartTermoCompens                        0x100000a0   Data           4  thermocalc.o(.data)
+    BandPassType                             0x100000c0   Data           1  mathdsp.o(.data)
+    highPls                                  0x100000c4   Data           4  mathdsp.o(.data)
+    lowPls                                   0x100000c8   Data           4  mathdsp.o(.data)
+    aDUP_2                                   0x100000cc   Data          12  mathdsp.o(.data)
+    bDUP_2                                   0x100000d8   Data          12  mathdsp.o(.data)
+    Vibro_Filter_Aperture                    0x100000e4   Data           4  mathdsp.o(.data)
+    Vibro_2_CountIn                          0x100000e8   Data           4  mathdsp.o(.data)
+    I2CMasterState                           0x10000104   Data           4  inputoutput.o(.data)
+    ExchangeErr                              0x10000108   Data           4  inputoutput.o(.data)
+    InputIndexBO                             0x10000114   Data           4  console.o(.data)
+    OutputIndexBO                            0x10000118   Data           4  console.o(.data)
+    InputIndexBI                             0x1000011c   Data           4  console.o(.data)
+    OutputIndexBI                            0x10000120   Data           4  console.o(.data)
+    Rate_Flag                                0x10000124   Data           4  command.o(.data)
+    PC_Comand                                0x10000128   Data           4  command.o(.data)
+    n                                        0x1000012c   Data           4  command.o(.data)
+    CountBuFFIn                              0x10000130   Data           4  command.o(.data)
+    ParamIn                                  0x10000134   Data           4  command.o(.data)
+    Err                                      0x10000138   Data           4  command.o(.data)
+    N                                        0x1000013c   Data           4  command.o(.data)
+    CRC_N                                    0x10000140   Data           4  command.o(.data)
+    Param1                                   0x10000144   Data           4  command.o(.data)
+    Param2                                   0x10000148   Data           4  command.o(.data)
+    Param3                                   0x1000014c   Data           4  command.o(.data)
+    Param4                                   0x10000150   Data           4  command.o(.data)
+    _arm_global_overflow::v                  0x10000154   Data           4  dither_reg.o(.data__ZZ20_arm_global_overflowE1v)
+    Buff_32Point                             0x10000158   Data        1024  qei.o(.bss)
+    Buff_16Point                             0x10000558   Data        1024  qei.o(.bss)
+    Buff_16PointD                            0x10000958   Data        1024  qei.o(.bss)
+    Buff_8Point                              0x10000d58   Data        1024  qei.o(.bss)
+    Buff_1Point                              0x10001158   Data        1024  qei.o(.bss)
+    Buff_Restored_sin                        0x10001558   Data        1024  qei.o(.bss)
+    BuffTemp                                 0x10001958   Data         100  global.o(.bss)
+    Spi                                      0x100019bc   Data          32  spi.o(.bss)
+    Buff_ADC_1                               0x100019dc   Data        1024  spi.o(.bss)
+    Buff_ADC_2                               0x10001ddc   Data        1024  spi.o(.bss)
+    Buff_ADC_3                               0x100021dc   Data        1024  spi.o(.bss)
+    Buff_ADC_4                               0x100025dc   Data        1024  spi.o(.bss)
+    Buff_ADC_5                               0x100029dc   Data        1024  spi.o(.bss)
+    Buff_AMP                                 0x10002ddc   Data        1024  spi.o(.bss)
+    InCon                                    0x100031dc   Data        1024  uart_m.o(.bss)
+    OutCon                                   0x100035dc   Data        1024  uart_m.o(.bss)
+    InCon1                                   0x100039dc   Data        1024  uart_m.o(.bss)
+    OutCon1                                  0x10003ddc   Data        1024  uart_m.o(.bss)
+    InCon2                                   0x100041dc   Data        1024  uart_m.o(.bss)
+    OutCon2                                  0x100045dc   Data        1024  uart_m.o(.bss)
+    InCon3                                   0x100049dc   Data        1024  uart_m.o(.bss)
+    OutCon3                                  0x10004ddc   Data        1024  uart_m.o(.bss)
+    InCon2M                                  0x100051dc   Data          64  uart_m.o(.bss)
+    OutCon2M                                 0x1000521c   Data          64  uart_m.o(.bss)
+    InCon3M                                  0x1000525c   Data          64  uart_m.o(.bss)
+    OutCon3M                                 0x1000529c   Data          64  uart_m.o(.bss)
+    __libspace_start                         0x100052dc   Data          96  libspace.o(.bss)
+    __temporary_stack_top$libspace           0x1000533c   Data           0  libspace.o(.bss)
+    SystemCoreClock                          0x2007c000   Data           4  system_lpc17xx.o(.data)
+    V1                                       0x2007c004   Data           4  vibro.o(.data)
+    Temp                                     0x2007c008   Data           4  vibro.o(.data)
+    Flag                                     0x2007c00c   Data           4  vibro.o(.data)
+    FrecTemp                                 0x2007c010   Data           4  vibro.o(.data)
+    CMD_Mode                                 0x2007c024   Data           4  commandset.o(.data)
+    CMD_Code                                 0x2007c028   Data           4  commandset.o(.data)
+    wrk_period                               0x2007c02c   Data           4  commandset.o(.data)
+    blt_in_test                              0x2007c030   Data           4  commandset.o(.data)
+    ScopeMode                                0x2007c034   Data           4  commandset.o(.data)
+    RI_diff                                  0x2007c074   Data           4  dither_reg.o(.data)
+    MaxDelay                                 0x2007c078   Data           4  dither_reg.o(.data)
+    VB_tau_Ins                               0x2007c07c   Data           4  dither_reg.o(.data)
+    VB_Nmin0                                 0x2007c080   Data           4  dither_reg.o(.data)
+    VB_Nmax0                                 0x2007c084   Data           4  dither_reg.o(.data)
+    In_Flag                                  0x2007c088   Data           4  dither_reg.o(.data)
+    SwitchCntInq                             0x2007c08c   Data           4  dither_reg.o(.data)
+    accum_error                              0x2007c090   Data           4  dither_reg.o(.data)
+    ph_error                                 0x2007c094   Data           4  dither_reg.o(.data)
+    accum_error_old                          0x2007c098   Data           4  dither_reg.o(.data)
+    PhaseShift                               0x2007c09c   Data           4  dither_reg.o(.data)
+    temp2                                    0x2007c0a0   Data           4  dither_reg.o(.data)
+    temp3                                    0x2007c0a4   Data           4  dither_reg.o(.data)
+    rcv_num_byt                              0x2007c0d4   Data           4  el_lin.o(.data)
+    rcv_num_byt_old                          0x2007c0d8   Data           4  el_lin.o(.data)
+    rcv_Rdy                                  0x2007c0dc   Data           4  el_lin.o(.data)
+    rx_buf_copy                              0x2007c0e0   Data           4  el_lin.o(.data)
+    rcv_byt_copy                             0x2007c0e4   Data           4  el_lin.o(.data)
+    trm_num_byt                              0x2007c0e8   Data           4  el_lin.o(.data)
+    trm_rate                                 0x2007c0ec   Data           4  el_lin.o(.data)
+    num_of_par                               0x2007c0f0   Data           4  el_lin.o(.data)
+    trm_ena                                  0x2007c0f4   Data           4  el_lin.o(.data)
+    line_err                                 0x2007c0f8   Data           4  el_lin.o(.data)
+    line_sts                                 0x2007c0fc   Data           4  el_lin.o(.data)
+    EnablLength                              0x2007c100   Data           4  el_lin.o(.data)
+    EnablTx                                  0x2007c104   Data           4  el_lin.o(.data)
+    EnablDMA                                 0x2007c108   Data           4  el_lin.o(.data)
+    i1                                       0x2007c114   Data           4  main.o(.data)
+    IRQ_Counter                              0x2007c118   Data           4  main.o(.data)
+    timer1_counter                           0x2007c11c   Data           4  main.o(.data)
+    temp111                                  0x2007c120   Data           4  main.o(.data)
+    temp                                     0x2007c124   Data           4  main.o(.data)
+    y                                        0x2007c128   Data           4  main.o(.data)
+    CuruAngleOld                             0x2007c12c   Data           4  main.o(.data)
+    LightUpCount                             0x2007c130   Data           4  main.o(.data)
+    BackLightCount                           0x2007c134   Data           4  main.o(.data)
+    secPuls                                  0x2007c138   Data           4  main.o(.data)
+    CountBI                                  0x2007c13c   Data           4  main.o(.data)
+    CmmandIn                                 0x2007c140   Data           4  main.o(.data)
+    tt                                       0x2007c144   Data           4  main.o(.data)
+    th                                       0x2007c148   Data           4  main.o(.data)
+    paramV                                   0x2007c14c   Data           4  main.o(.data)
+    flag                                     0x2007c150   Data           4  main.o(.data)
+    TIME                                     0x2007c154   Data           4  main.o(.data)
+    t                                        0x2007c158   Data           4  main.o(.data)
+    Sec                                      0x2007c15c   Data           4  main.o(.data)
+    tmpSec                                   0x2007c160   Data           4  main.o(.data)
+    SEC                                      0x2007c164   Data           4  main.o(.data)
+    mSec                                     0x2007c168   Data           4  main.o(.data)
+    tempsec                                  0x2007c16c   Data           4  main.o(.data)
+    DACF                                     0x2007c170   Data           4  main.o(.data)
+    K_DAC                                    0x2007c174   Data           4  main.o(.data)
+    LED_TEMP                                 0x2007c178   Data           4  main.o(.data)
+    SRgR                                     0x2007c180   Data           4  cntrlgld.o(.data)
+    RgConA                                   0x2007c184   Data           4  cntrlgld.o(.data)
+    RgConB                                   0x2007c188   Data           4  cntrlgld.o(.data)
+    Valid_Data                               0x2007c18c   Data           4  cntrlgld.o(.data)
+    ser_num                                  0x2007c190   Data           4  cntrlgld.o(.data)
+    Device_Mode                              0x2007c194   Data           4  cntrlgld.o(.data)
+    Tab_Gld_Start                            0x2007c198   Data          12  cntrlgld.o(.data)
+    Tab_Gld_Pulse                            0x2007c1a4   Data           4  cntrlgld.o(.data)
+    Stk_Gld_Start                            0x2007c1a8   Data          12  cntrlgld.o(.data)
+    gyro_Sts                                 0x2007c1b4   Data           4  cntrlgld.o(.data)
+    start_Rq                                 0x2007c1b8   Data           4  cntrlgld.o(.data)
+    start_Go                                 0x2007c1bc   Data           4  cntrlgld.o(.data)
+    stop_Rq                                  0x2007c1c0   Data           4  cntrlgld.o(.data)
+    pulse_Rq                                 0x2007c1c4   Data           4  cntrlgld.o(.data)
+    pulse_Go                                 0x2007c1c8   Data           4  cntrlgld.o(.data)
+    state_index                              0x2007c1cc   Data           4  cntrlgld.o(.data)
+    sts_work                                 0x2007c1d0   Data           4  cntrlgld.o(.data)
+    sts_time                                 0x2007c1d4   Data           4  cntrlgld.o(.data)
+    attempt_Str                              0x2007c1d8   Data           4  cntrlgld.o(.data)
+    BIT_number                               0x2007c1dc   Data           4  cntrlgld.o(.data)
+    Is_BIT                                   0x2007c1e0   Data           4  cntrlgld.o(.data)
+    Old_Cnt_Vib                              0x2007c1f0   Data           4  sip.o(.data)
+    Old_Cnt                                  0x2007c1f4   Data           4  sip.o(.data)
+    RefMeand_Cnt_Dif                         0x2007c1f8   Data           4  sip.o(.data)
+    PSdif_sum_Vib_32                         0x2007c1fc   Data           4  sip.o(.data)
+    PSdif_sum_Vib_64                         0x2007c200   Data           8  sip.o(.data)
+    dif_Curr_32_Ext                          0x2007c208   Data           4  sip.o(.data)
+    dif_Curr_32_previous                     0x2007c20c   Data           4  sip.o(.data)
+    temp22                                   0x2007c210   Data           4  sip.o(.data)
+    Curr_Cnt_Vib                             0x2007c214   Data           4  sip.o(.data)
+    Cnt_curr                                 0x2007c218   Data           4  sip.o(.data)
+    Dif_Curr_Vib                             0x2007c21c   Data           4  sip.o(.data)
+    Dif_Curr_32                              0x2007c220   Data           4  sip.o(.data)
+    Pulse_midl                               0x2007c23c   Data           4  qei.o(.data)
+    PulseHalf                                0x2007c240   Data           4  qei.o(.data)
+    CuruAngle                                0x2007c244   Data           4  qei.o(.data)
+    Dif_QEI                                  0x2007c248   Data           4  qei.o(.data)
+    FFF                                      0x2007c24c   Data           4  qei.o(.data)
+    Pulse_8Point                             0x2007c250   Data           4  qei.o(.data)
+    Pulse_16Point                            0x2007c254   Data           4  qei.o(.data)
+    Pulse_32Point                            0x2007c258   Data           4  qei.o(.data)
+    Pulse_16PointD                           0x2007c25c   Data           4  qei.o(.data)
+    Iras                                     0x2007c260   Data           4  qei.o(.data)
+    Temp_F_ras                               0x2007c264   Data           4  qei.o(.data)
+    yy                                       0x2007c268   Data           4  qei.o(.data)
+    Cur_QEI                                  0x2007c26c   Data           4  qei.o(.data)
+    Last_QEI                                 0x2007c270   Data           4  qei.o(.data)
+    VibroReg                                 0x2007c274   Data           4  global.o(.data)
+    Global_Time                              0x2007c278   Data           4  global.o(.data)
+    Time_UART                                0x2007c27c   Data           4  global.o(.data)
+    Time_Sec                                 0x2007c280   Data           4  global.o(.data)
+    Time_vibro                               0x2007c284   Data           4  global.o(.data)
+    Time_1kHz                                0x2007c288   Data           4  global.o(.data)
+    MaxAmp                                   0x2007c28c   Data           4  global.o(.data)
+    CountV31                                 0x2007c290   Data           4  mtimer.o(.data)
+    CountV255                                0x2007c294   Data           4  mtimer.o(.data)
+    CountV64                                 0x2007c298   Data           4  mtimer.o(.data)
+    Temp_AMP                                 0x2007c29c   Data           4  spi.o(.data)
+    Temp_ADC_2                               0x2007c2a0   Data           4  spi.o(.data)
+    Temp_ADC_3                               0x2007c2a4   Data           4  spi.o(.data)
+    Temp_ADC_4                               0x2007c2a8   Data           4  spi.o(.data)
+    Temp_ADC_5                               0x2007c2ac   Data           4  spi.o(.data)
+    SinPLC                                   0x2007c2b0   Data         256  spi.o(.data)
+    UART0_BPS                                0x2007c3b0   Data           4  uart_m.o(.data)
+    UART1_BPS                                0x2007c3b4   Data           4  uart_m.o(.data)
+    UART2_BPS                                0x2007c3b8   Data           4  uart_m.o(.data)
+    UART3_BPS                                0x2007c3bc   Data           4  uart_m.o(.data)
+    UART_LCR                                 0x2007c3c0   Data           4  uart_m.o(.data)
+    ConInPnt                                 0x2007c3c4   Data           4  uart_m.o(.data)
+    ConInCur                                 0x2007c3c8   Data           4  uart_m.o(.data)
+    ConOutPnt                                0x2007c3cc   Data           4  uart_m.o(.data)
+    ConOutCur                                0x2007c3d0   Data           4  uart_m.o(.data)
+    ConInPnt1                                0x2007c3d4   Data           4  uart_m.o(.data)
+    ConInCur1                                0x2007c3d8   Data           4  uart_m.o(.data)
+    ConOutPnt1                               0x2007c3dc   Data           4  uart_m.o(.data)
+    ConOutCur1                               0x2007c3e0   Data           4  uart_m.o(.data)
+    ConInPnt2                                0x2007c3e4   Data           4  uart_m.o(.data)
+    ConInCur2                                0x2007c3e8   Data           4  uart_m.o(.data)
+    ConOutPnt2                               0x2007c3ec   Data           4  uart_m.o(.data)
+    ConOutCur2                               0x2007c3f0   Data           4  uart_m.o(.data)
+    ConInPnt3                                0x2007c3f4   Data           4  uart_m.o(.data)
+    ConInCur3                                0x2007c3f8   Data           4  uart_m.o(.data)
+    ConOutPnt3                               0x2007c3fc   Data           4  uart_m.o(.data)
+    ConOutCur3                               0x2007c400   Data           4  uart_m.o(.data)
+    ConInPnt2M                               0x2007c404   Data           4  uart_m.o(.data)
+    ConInCur2M                               0x2007c408   Data           4  uart_m.o(.data)
+    ConOutPnt2M                              0x2007c40c   Data           4  uart_m.o(.data)
+    ConOutCur2M                              0x2007c410   Data           4  uart_m.o(.data)
+    ConInPnt3M                               0x2007c414   Data           4  uart_m.o(.data)
+    ConInCur3M                               0x2007c418   Data           4  uart_m.o(.data)
+    ConOutPnt3M                              0x2007c41c   Data           4  uart_m.o(.data)
+    ConOutCur3M                              0x2007c420   Data           4  uart_m.o(.data)
+    OutBufConCount                           0x2007c424   Data           4  uart_m.o(.data)
+    OutBufCon1Count                          0x2007c428   Data           4  uart_m.o(.data)
+    OutBufCon2Count                          0x2007c42c   Data           4  uart_m.o(.data)
+    OutBufCon3Count                          0x2007c430   Data           4  uart_m.o(.data)
+    Main                                     0x2007c434   Data         112  vibro.o(.bss)
+    rcv_copy                                 0x2007c4a4   Data          64  el_lin.o(.bss)
+    rcv_buf                                  0x2007c4e4   Data          64  el_lin.o(.bss)
+    trm_buf                                  0x2007c524   Data          64  el_lin.o(.bss)
+    addr_param                               0x2007c564   Data          64  el_lin.o(.bss)
+    size_param                               0x2007c5a4   Data          64  el_lin.o(.bss)
+    LLI0_TypeDef                             0x2007c5e4   Data          16  el_lin.o(.bss)
+    LLI1_TypeDef                             0x2007c5f4   Data          16  el_lin.o(.bss)
+    sin_func                                 0x2007c604   Data         400  plc_reg.o(.bss)
+    dThermoHeatDeltaPer_dTermo               0x2007c794   Data          56  thermocalc.o(.bss)
+    dThermoCoolDeltaPer_dTermo               0x2007c7cc   Data          56  thermocalc.o(.bss)
+    dFuncPer_dTermo                          0x2007c804   Data          56  thermocalc.o(.bss)
+    Device_blk                               0x2007c83c   Data         684  cntrlgld.o(.bss)
+    BufInMovAverPls                          0x2007cae8   Data         268  mathdsp.o(.bss)
+    BufInMovAverMns                          0x2007cbf4   Data         268  mathdsp.o(.bss)
+    BufInMovAverPls_2                        0x2007cd00   Data         268  mathdsp.o(.bss)
+    BufInMovAverMns_2                        0x2007ce0c   Data         268  mathdsp.o(.bss)
+    hMovAver                                 0x2007cf18   Data         268  mathdsp.o(.bss)
+    aPLC                                     0x2007d024   Data          12  mathdsp.o(.bss)
+    bPLC                                     0x2007d030   Data          12  mathdsp.o(.bss)
+    aDUP                                     0x2007d03c   Data          12  mathdsp.o(.bss)
+    bDUP                                     0x2007d048   Data          12  mathdsp.o(.bss)
+    Input                                    0x2007d190   Data          16  inputoutput.o(.bss)
+    Output                                   0x2007d1a0   Data         160  inputoutput.o(.bss)
+    I2CMasterBuffer                          0x2007d240   Data          24  inputoutput.o(.bss)
+    BuffOut                                  0x2007d258   Data        4096  console.o(.bss)
+    BuffIn                                   0x2007e258   Data        4096  console.o(.bss)
+    _random_number_data                      0x2007f258   Data         228  rand.o(.bss)
+
+
+
+==============================================================================
+
+Memory Map of the image
+
+  Image Entry point : 0x000000c5
+
+  Load Region LR_IROM1 (Base: 0x00000000, Size: 0x000087b4, Max: 0x00027fff, ABSOLUTE, COMPRESSED[0x00008348])
+
+    Execution Region ER_IROM1 (Base: 0x00000000, Size: 0x00008228, Max: 0x00027fff, ABSOLUTE)
+
+    Base Addr    Size         Type   Attr      Idx    E Section Name        Object
+
+    0x00000000   0x000000c4   Data   RO            3    RESET               startup_lpc17xx.o
+    0x000000c4   0x00000008   Code   RO          825  * !!!main             c_w.l(__main.o)
+    0x000000cc   0x00000034   Code   RO         1117    !!!scatter          c_w.l(__scatter.o)
+    0x00000100   0x0000005a   Code   RO         1115    !!dczerorl2         c_w.l(__dczerorl2.o)
+    0x0000015a   0x00000002   PAD
+    0x0000015c   0x0000001c   Code   RO         1119    !!handler_zi        c_w.l(__scatter_zi.o)
+    0x00000178   0x00000002   Code   RO         1032    .ARM.Collect$$libinit$$00000000  c_w.l(libinit.o)
+    0x0000017a   0x00000000   Code   RO          893    .ARM.Collect$$libinit$$00000002  c_w.l(libinit2.o)
+    0x0000017a   0x00000000   Code   RO          895    .ARM.Collect$$libinit$$00000004  c_w.l(libinit2.o)
+    0x0000017a   0x00000000   Code   RO          898    .ARM.Collect$$libinit$$0000000A  c_w.l(libinit2.o)
+    0x0000017a   0x00000000   Code   RO          900    .ARM.Collect$$libinit$$0000000C  c_w.l(libinit2.o)
+    0x0000017a   0x00000004   Code   RO          901    .ARM.Collect$$libinit$$0000000D  c_w.l(libinit2.o)
+    0x0000017e   0x00000000   Code   RO          902    .ARM.Collect$$libinit$$0000000E  c_w.l(libinit2.o)
+    0x0000017e   0x00000000   Code   RO          905    .ARM.Collect$$libinit$$00000011  c_w.l(libinit2.o)
+    0x0000017e   0x00000000   Code   RO          907    .ARM.Collect$$libinit$$00000013  c_w.l(libinit2.o)
+    0x0000017e   0x00000000   Code   RO          909    .ARM.Collect$$libinit$$00000015  c_w.l(libinit2.o)
+    0x0000017e   0x00000000   Code   RO          911    .ARM.Collect$$libinit$$00000017  c_w.l(libinit2.o)
+    0x0000017e   0x00000000   Code   RO          913    .ARM.Collect$$libinit$$00000019  c_w.l(libinit2.o)
+    0x0000017e   0x00000000   Code   RO          915    .ARM.Collect$$libinit$$0000001B  c_w.l(libinit2.o)
+    0x0000017e   0x00000000   Code   RO          917    .ARM.Collect$$libinit$$0000001D  c_w.l(libinit2.o)
+    0x0000017e   0x00000000   Code   RO          919    .ARM.Collect$$libinit$$0000001F  c_w.l(libinit2.o)
+    0x0000017e   0x00000000   Code   RO          921    .ARM.Collect$$libinit$$00000021  c_w.l(libinit2.o)
+    0x0000017e   0x00000000   Code   RO          923    .ARM.Collect$$libinit$$00000023  c_w.l(libinit2.o)
+    0x0000017e   0x00000000   Code   RO          925    .ARM.Collect$$libinit$$00000025  c_w.l(libinit2.o)
+    0x0000017e   0x00000000   Code   RO          929    .ARM.Collect$$libinit$$0000002C  c_w.l(libinit2.o)
+    0x0000017e   0x00000000   Code   RO          931    .ARM.Collect$$libinit$$0000002E  c_w.l(libinit2.o)
+    0x0000017e   0x00000000   Code   RO          933    .ARM.Collect$$libinit$$00000030  c_w.l(libinit2.o)
+    0x0000017e   0x00000000   Code   RO          935    .ARM.Collect$$libinit$$00000032  c_w.l(libinit2.o)
+    0x0000017e   0x00000002   Code   RO          936    .ARM.Collect$$libinit$$00000033  c_w.l(libinit2.o)
+    0x00000180   0x00000002   Code   RO         1079    .ARM.Collect$$libshutdown$$00000000  c_w.l(libshutdown.o)
+    0x00000182   0x00000000   Code   RO         1086    .ARM.Collect$$libshutdown$$00000003  c_w.l(libshutdown2.o)
+    0x00000182   0x00000000   Code   RO         1089    .ARM.Collect$$libshutdown$$00000006  c_w.l(libshutdown2.o)
+    0x00000182   0x00000000   Code   RO         1092    .ARM.Collect$$libshutdown$$00000009  c_w.l(libshutdown2.o)
+    0x00000182   0x00000000   Code   RO         1094    .ARM.Collect$$libshutdown$$0000000B  c_w.l(libshutdown2.o)
+    0x00000182   0x00000000   Code   RO         1097    .ARM.Collect$$libshutdown$$0000000E  c_w.l(libshutdown2.o)
+    0x00000182   0x00000002   Code   RO         1098    .ARM.Collect$$libshutdown$$0000000F  c_w.l(libshutdown2.o)
+    0x00000184   0x00000000   Code   RO          885    .ARM.Collect$$rtentry$$00000000  c_w.l(__rtentry.o)
+    0x00000184   0x00000000   Code   RO          975    .ARM.Collect$$rtentry$$00000002  c_w.l(__rtentry2.o)
+    0x00000184   0x00000006   Code   RO          987    .ARM.Collect$$rtentry$$00000004  c_w.l(__rtentry4.o)
+    0x0000018a   0x00000000   Code   RO          977    .ARM.Collect$$rtentry$$00000009  c_w.l(__rtentry2.o)
+    0x0000018a   0x00000004   Code   RO          978    .ARM.Collect$$rtentry$$0000000A  c_w.l(__rtentry2.o)
+    0x0000018e   0x00000000   Code   RO          980    .ARM.Collect$$rtentry$$0000000C  c_w.l(__rtentry2.o)
+    0x0000018e   0x00000008   Code   RO          981    .ARM.Collect$$rtentry$$0000000D  c_w.l(__rtentry2.o)
+    0x00000196   0x00000002   Code   RO         1037    .ARM.Collect$$rtexit$$00000000  c_w.l(rtexit.o)
+    0x00000198   0x00000000   Code   RO         1055    .ARM.Collect$$rtexit$$00000002  c_w.l(rtexit2.o)
+    0x00000198   0x00000004   Code   RO         1056    .ARM.Collect$$rtexit$$00000003  c_w.l(rtexit2.o)
+    0x0000019c   0x00000006   Code   RO         1057    .ARM.Collect$$rtexit$$00000004  c_w.l(rtexit2.o)
+    0x000001a2   0x00000002   PAD
+    0x000001a4   0x00000034   Code   RO          816    .emb_text           c_w.l(rand.o)
+    0x000001d8   0x0000003c   Code   RO            4    .text               startup_lpc17xx.o
+    0x00000214   0x00000274   Code   RO           25    .text               system_lpc17xx.o
+    0x00000488   0x000002f0   Code   RO           60    .text               vibro.o
+    0x00000778   0x00000478   Code   RO          140    .text               cyclessync.o
+    0x00000bf0   0x00000b68   Code   RO          175    .text               commandset.o
+    0x00001758   0x00000960   Code   RO          239    .text               dither_reg.o
+    0x000020b8   0x00000660   Code   RO          314    .text               el_lin.o
+    0x00002718   0x0000046c   Code   RO          346    .text               main.o
+    0x00002b84   0x00000644   Code   RO          407    .text               plc_reg.o
+    0x000031c8   0x00000194   Code   RO          440    .text               hfo_reg.o
+    0x0000335c   0x000004dc   Code   RO          458    .text               thermocalc.o
+    0x00003838   0x00000300   Code   RO          499    .text               cntrlgld.o
+    0x00003b38   0x00000660   Code   RO          546    .text               mathdsp.o
+    0x00004198   0x00000378   Code   RO          570    .text               inputoutput.o
+    0x00004510   0x00000428   Code   RO          598    .text               sip.o
+    0x00004938   0x000001dc   Code   RO          629    .text               parameters.o
+    0x00004b14   0x00000174   Code   RO          649    .text               console.o
+    0x00004c88   0x000002e8   Code   RO          671    .text               qei.o
+    0x00004f70   0x00000054   Code   RO          698    .text               global.o
+    0x00004fc4   0x0000012c   Code   RO          719    .text               mtimer.o
+    0x000050f0   0x00000234   Code   RO          745    .text               spi.o
+    0x00005324   0x00000684   Code   RO          768    .text               command.o
+    0x000059a8   0x00000e0c   Code   RO          790    .text               uart_m.o
+    0x000067b4   0x00000048   Code   RO          814    .text               c_w.l(llsdiv.o)
+    0x000067fc   0x0000003c   Code   RO          817    .text               c_w.l(rand.o)
+    0x00006838   0x0000008a   Code   RO          821    .text               c_w.l(rt_memcpy_v6.o)
+    0x000068c2   0x00000006   Code   RO          823    .text               c_w.l(heapauxi.o)
+    0x000068c8   0x000000ee   Code   RO          886    .text               c_w.l(lludivv7m.o)
+    0x000069b6   0x00000016   Code   RO          888    .text               c_w.l(_rserrno.o)
+    0x000069cc   0x00000064   Code   RO          890    .text               c_w.l(rt_memcpy_w.o)
+    0x00006a30   0x00000008   Code   RO          996    .text               c_w.l(rt_errno_addr_intlibspace.o)
+    0x00006a38   0x00000008   Code   RO         1014    .text               c_w.l(libspace.o)
+    0x00006a40   0x0000004a   Code   RO         1017    .text               c_w.l(sys_stackheap_outer.o)
+    0x00006a8a   0x0000000c   Code   RO         1021    .text               c_w.l(exit.o)
+    0x00006a96   0x00000002   Code   RO         1051    .text               c_w.l(use_no_semi.o)
+    0x00006a98   0x00000000   Code   RO         1053    .text               c_w.l(indicate_semi.o)
+    0x00006a98   0x0000000c   Code   RO         1071    .text               c_w.l(sys_exit.o)
+    0x00006aa4   0x0000000c   Code   RO          474    i.Max_Saturation    thermocalc.o
+    0x00006ab0   0x00000028   Code   RO         1010    i.__ARM_fpclassify  m_ws.l(fpclassify.o)
+    0x00006ad8   0x00000388   Code   RO          963    i.__ieee754_rem_pio2  m_ws.l(rred.o)
+    0x00006e60   0x000000fc   Code   RO          946    i.__kernel_cos      m_ws.l(cos_i.o)
+    0x00006f5c   0x000000aa   Code   RO         1012    i.__kernel_poly     m_ws.l(poly.o)
+    0x00007006   0x00000002   PAD
+    0x00007008   0x000000ec   Code   RO          968    i.__kernel_sin      m_ws.l(sin_i.o)
+    0x000070f4   0x00000006   Code   RO          950    i.__mathlib_dbl_infnan  m_ws.l(dunder.o)
+    0x000070fa   0x0000000c   Code   RO          952    i.__mathlib_dbl_invalid  m_ws.l(dunder.o)
+    0x00007106   0x00000002   PAD
+    0x00007108   0x00000010   Code   RO          955    i.__mathlib_dbl_underflow  m_ws.l(dunder.o)
+    0x00007118   0x0000009c   Code   RO          870    i.cos               m_ws.l(cos.o)
+    0x000071b4   0x000000a0   Code   RO          878    i.sin               m_ws.l(sin.o)
+    0x00007254   0x00000062   Code   RO          827    x$fpl$d2f           fz_ws.l(d2f.o)
+    0x000072b6   0x00000002   PAD
+    0x000072b8   0x00000150   Code   RO          829    x$fpl$dadd          fz_ws.l(daddsub_clz.o)
+    0x00007408   0x00000010   Code   RO         1033    x$fpl$dcheck1       fz_ws.l(dcheck1.o)
+    0x00007418   0x000002b0   Code   RO          836    x$fpl$ddiv          fz_ws.l(ddiv.o)
+    0x000076c8   0x0000005e   Code   RO          839    x$fpl$dfix          fz_ws.l(dfix.o)
+    0x00007726   0x0000002e   Code   RO         1001    x$fpl$dflt          fz_ws.l(dflt_clz.o)
+    0x00007754   0x00000026   Code   RO         1000    x$fpl$dfltu         fz_ws.l(dflt_clz.o)
+    0x0000777a   0x00000002   PAD
+    0x0000777c   0x00000154   Code   RO          843    x$fpl$dmul          fz_ws.l(dmul.o)
+    0x000078d0   0x0000009c   Code   RO          937    x$fpl$dnaninf       fz_ws.l(dnaninf.o)
+    0x0000796c   0x0000000c   Code   RO          939    x$fpl$dretinf       fz_ws.l(dretinf.o)
+    0x00007978   0x00000016   Code   RO          830    x$fpl$drsb          fz_ws.l(daddsub_clz.o)
+    0x0000798e   0x00000002   PAD
+    0x00007990   0x000001d4   Code   RO          831    x$fpl$dsub          fz_ws.l(daddsub_clz.o)
+    0x00007b64   0x00000056   Code   RO          845    x$fpl$f2d           fz_ws.l(f2d.o)
+    0x00007bba   0x00000002   PAD
+    0x00007bbc   0x000000c4   Code   RO          847    x$fpl$fadd          fz_ws.l(faddsub_clz.o)
+    0x00007c80   0x00000036   Code   RO          853    x$fpl$ffix          fz_ws.l(ffix.o)
+    0x00007cb6   0x00000002   PAD
+    0x00007cb8   0x0000003e   Code   RO          857    x$fpl$ffixu         fz_ws.l(ffixu.o)
+    0x00007cf6   0x00000002   PAD
+    0x00007cf8   0x00000030   Code   RO          862    x$fpl$fflt          fz_ws.l(fflt_clz.o)
+    0x00007d28   0x00000026   Code   RO          861    x$fpl$ffltu         fz_ws.l(fflt_clz.o)
+    0x00007d4e   0x00000002   PAD
+    0x00007d50   0x00000102   Code   RO          867    x$fpl$fmul          fz_ws.l(fmul.o)
+    0x00007e52   0x0000008c   Code   RO          941    x$fpl$fnaninf       fz_ws.l(fnaninf.o)
+    0x00007ede   0x0000000a   Code   RO          943    x$fpl$fretinf       fz_ws.l(fretinf.o)
+    0x00007ee8   0x00000014   Code   RO          848    x$fpl$frsb          fz_ws.l(faddsub_clz.o)
+    0x00007efc   0x000000ea   Code   RO          849    x$fpl$fsub          fz_ws.l(faddsub_clz.o)
+    0x00007fe6   0x00000064   Code   RO         1049    x$fpl$retnan        fz_ws.l(retnan.o)
+    0x0000804a   0x0000005c   Code   RO         1008    x$fpl$scalbn        fz_ws.l(scalbn.o)
+    0x000080a6   0x00000030   Code   RO         1069    x$fpl$trapveneer    fz_ws.l(trapv.o)
+    0x000080d6   0x00000000   Code   RO          945    x$fpl$usenofp       fz_ws.l(usenofp.o)
+    0x000080d6   0x00000002   PAD
+    0x000080d8   0x00000030   Data   RO          947    .constdata          m_ws.l(cos_i.o)
+    0x00008108   0x000000c8   Data   RO          965    .constdata          m_ws.l(rred.o)
+    0x000081d0   0x00000028   Data   RO          969    .constdata          m_ws.l(sin_i.o)
+    0x000081f8   0x00000030   Data   RO         1113    Region$$Table       anon$$obj.o
+
+
+    Execution Region RW_IRAM1 (Base: 0x10000000, Size: 0x00005340, Max: 0x00008000, ABSOLUTE, UNINIT, COMPRESSED[0x00000034])
+
+    Base Addr    Size         Type   Attr      Idx    E Section Name        Object
+
+    0x10000000   0x0000004c   Data   RW          141    .data               cyclessync.o
+    0x1000004c   0x00000040   Data   RW          409    .data               plc_reg.o
+    0x1000008c   0x00000030   Data   RW          460    .data               thermocalc.o
+    0x100000bc   0x00000004   PAD
+    0x100000c0   0x00000044   Data   RW          548    .data               mathdsp.o
+    0x10000104   0x00000010   Data   RW          572    .data               inputoutput.o
+    0x10000114   0x00000010   Data   RW          651    .data               console.o
+    0x10000124   0x00000030   Data   RW          769    .data               command.o
+    0x10000154   0x00000004   Data   RW          254    .data__ZZ20_arm_global_overflowE1v  dither_reg.o
+    0x10000158   0x00001800   Zero   RW          672    .bss                qei.o
+    0x10001958   0x00000064   Zero   RW          699    .bss                global.o
+    0x100019bc   0x00001820   Zero   RW          746    .bss                spi.o
+    0x100031dc   0x00002100   Zero   RW          791    .bss                uart_m.o
+    0x100052dc   0x00000060   Zero   RW         1015    .bss                c_w.l(libspace.o)
+    0x1000533c   0x00000004   PAD
+    0x10005340   0x00000000   Zero   RW            2    HEAP                startup_lpc17xx.o
+
+
+    Execution Region RW_IRAM2 (Base: 0x2007c000, Size: 0x00005340, Max: 0x00008000, ABSOLUTE, COMPRESSED[0x000000ec])
+
+    Base Addr    Size         Type   Attr      Idx    E Section Name        Object
+
+    0x2007c000   0x00000004   Data   RW           26    .data               system_lpc17xx.o
+    0x2007c004   0x00000020   Data   RW           62    .data               vibro.o
+    0x2007c024   0x00000050   Data   RW          177    .data               commandset.o
+    0x2007c074   0x00000060   Data   RW          240    .data               dither_reg.o
+    0x2007c0d4   0x00000040   Data   RW          316    .data               el_lin.o
+    0x2007c114   0x00000068   Data   RW          347    .data               main.o
+    0x2007c17c   0x00000004   Data   RW          441    .data               hfo_reg.o
+    0x2007c180   0x0000006c   Data   RW          501    .data               cntrlgld.o
+    0x2007c1ec   0x00000004   PAD
+    0x2007c1f0   0x0000004c   Data   RW          599    .data               sip.o
+    0x2007c23c   0x00000038   Data   RW          673    .data               qei.o
+    0x2007c274   0x0000001c   Data   RW          700    .data               global.o
+    0x2007c290   0x0000000c   Data   RW          720    .data               mtimer.o
+    0x2007c29c   0x00000114   Data   RW          747    .data               spi.o
+    0x2007c3b0   0x00000084   Data   RW          792    .data               uart_m.o
+    0x2007c434   0x00000070   Zero   RW           61    .bss                vibro.o
+    0x2007c4a4   0x00000160   Zero   RW          315    .bss                el_lin.o
+    0x2007c604   0x00000190   Zero   RW          408    .bss                plc_reg.o
+    0x2007c794   0x000000a8   Zero   RW          459    .bss                thermocalc.o
+    0x2007c83c   0x000002ac   Zero   RW          500    .bss                cntrlgld.o
+    0x2007cae8   0x000006a8   Zero   RW          547    .bss                mathdsp.o
+    0x2007d190   0x000000c8   Zero   RW          571    .bss                inputoutput.o
+    0x2007d258   0x00002000   Zero   RW          650    .bss                console.o
+    0x2007f258   0x000000e4   Zero   RW          818    .bss                c_w.l(rand.o)
+    0x2007f33c   0x00000004   PAD
+    0x2007f340   0x00002000   Zero   RW            1    STACK               startup_lpc17xx.o
+
+
+==============================================================================
+
+Image component sizes
+
+
+      Code (inc. data)   RO Data    RW Data    ZI Data      Debug   Object Name
+
+       768         86          0        108        684       9337   cntrlgld.o
+      1668         94          0         48          0       3402   command.o
+      2920        306          0         80          0       9737   commandset.o
+       372         32          0         16       8192       2674   console.o
+         0          0          0          0          0       4504   core_cm3.o
+      1144        124          0         76          0      20328   cyclessync.o
+      2400        210          0        100          0      10406   dither_reg.o
+      1632        148          0         64        352      20536   el_lin.o
+        84         20          0         28        100       1387   global.o
+       404         36          0          4          0       1386   hfo_reg.o
+       888         56          0         16        200       4313   inputoutput.o
+      1132        216          0        104          0       2927   main.o
+      1632        150          0         68       1704       5238   mathdsp.o
+       300         50          0         12          0      17824   mtimer.o
+       476         32          0          0          0       1125   parameters.o
+      1604        178          0         64        400       5798   plc_reg.o
+       744         78          0         56       6144       1891   qei.o
+      1064        140          0         76          0      18599   sip.o
+       564         84          0        276       6176       2296   spi.o
+        60         22        196          0       8192        884   startup_lpc17xx.o
+       628         28          0          4          0       1049   system_lpc17xx.o
+      1256        124          0         48        168       4707   thermocalc.o
+      3596        322          0        132       8448      12862   uart_m.o
+       752         90          0         32        112      17871   vibro.o
+
+    ----------------------------------------------------------------------
+     26088       2626        244       1420      40872     181081   Object Totals
+         0          0         48          0          0          0   (incl. Generated)
+         0          0          0          8          0          0   (incl. Padding)
+
+    ----------------------------------------------------------------------
+
+      Code (inc. data)   RO Data    RW Data    ZI Data      Debug   Library Member Name
+
+        90          0          0          0          0          0   __dczerorl2.o
+         8          0          0          0          0         68   __main.o
+         0          0          0          0          0          0   __rtentry.o
+        12          0          0          0          0          0   __rtentry2.o
+         6          0          0          0          0          0   __rtentry4.o
+        52          8          0          0          0          0   __scatter.o
+        28          0          0          0          0          0   __scatter_zi.o
+        22          0          0          0          0        100   _rserrno.o
+        12          0          0          0          0         72   exit.o
+         6          0          0          0          0        152   heapauxi.o
+         0          0          0          0          0          0   indicate_semi.o
+         2          0          0          0          0          0   libinit.o
+         6          0          0          0          0          0   libinit2.o
+         2          0          0          0          0          0   libshutdown.o
+         2          0          0          0          0          0   libshutdown2.o
+         8          4          0          0         96         68   libspace.o
+        72          0          0          0          0         76   llsdiv.o
+       238          0          0          0          0        100   lludivv7m.o
+       112         18          0          0        228        160   rand.o
+         8          4          0          0          0         68   rt_errno_addr_intlibspace.o
+       138          0          0          0          0         68   rt_memcpy_v6.o
+       100          0          0          0          0         80   rt_memcpy_w.o
+         2          0          0          0          0          0   rtexit.o
+        10          0          0          0          0          0   rtexit2.o
+        12          4          0          0          0         68   sys_exit.o
+        74          0          0          0          0         80   sys_stackheap_outer.o
+         2          0          0          0          0         68   use_no_semi.o
+        98          4          0          0          0         92   d2f.o
+       826         16          0          0          0        348   daddsub_clz.o
+        16          4          0          0          0         68   dcheck1.o
+       688        140          0          0          0        208   ddiv.o
+        94          4          0          0          0         92   dfix.o
+        84          0          0          0          0        136   dflt_clz.o
+       340         12          0          0          0        104   dmul.o
+       156          4          0          0          0         92   dnaninf.o
+        12          0          0          0          0         68   dretinf.o
+        86          4          0          0          0         84   f2d.o
+       450          8          0          0          0        236   faddsub_clz.o
+        54          4          0          0          0         84   ffix.o
+        62          4          0          0          0         84   ffixu.o
+        86          0          0          0          0        136   fflt_clz.o
+       258          4          0          0          0         84   fmul.o
+       140          4          0          0          0         84   fnaninf.o
+        10          0          0          0          0         68   fretinf.o
+       100          0          0          0          0         68   retnan.o
+        92          0          0          0          0         68   scalbn.o
+        48          0          0          0          0         68   trapv.o
+         0          0          0          0          0          0   usenofp.o
+       156          8          0          0          0        104   cos.o
+       252         22         48          0          0        124   cos_i.o
+        34          6          0          0          0        204   dunder.o
+        40          0          0          0          0         68   fpclassify.o
+       170          0          0          0          0         96   poly.o
+       904         76        200          0          0        140   rred.o
+       160         10          0          0          0        108   sin.o
+       236         12         40          0          0        128   sin_i.o
+
+    ----------------------------------------------------------------------
+      6700        384        288          0        332       4472   Library Totals
+        24          0          0          0          8          0   (incl. Padding)
+
+    ----------------------------------------------------------------------
+
+      Code (inc. data)   RO Data    RW Data    ZI Data      Debug   Library Name
+
+      1024         38          0          0        324       1228   c_w.l
+      3700        212          0          0          0       2272   fz_ws.l
+      1952        134        288          0          0        972   m_ws.l
+
+    ----------------------------------------------------------------------
+      6700        384        288          0        332       4472   Library Totals
+
+    ----------------------------------------------------------------------
+
+==============================================================================
+
+
+      Code (inc. data)   RO Data    RW Data    ZI Data      Debug   
+
+     32788       3010        532       1420      41204     180817   Grand Totals
+     32788       3010        532        288      41204     180817   ELF Image Totals (compressed)
+     32788       3010        532        288          0          0   ROM Totals
+
+==============================================================================
+
+    Total RO  Size (Code + RO Data)                33320 (  32.54kB)
+    Total RW  Size (RW Data + ZI Data)             42624 (  41.63kB)
+    Total ROM Size (Code + RO Data + RW Data)      33608 (  32.82kB)
+
+==============================================================================
+