12

Dependencies:   mbed-dev-f303 FastPWM3

Committer:
shaorui
Date:
Wed Jun 02 00:56:21 2021 +0000
Revision:
50:f460323fc492
Parent:
47:55bdc4d5096b
test for torque_max

Who changed what in which revision?

UserRevisionLine numberNew contents of line
benkatz 22:60276ba87ac6 1 /// high-bandwidth 3-phase motor control, for robots
benkatz 22:60276ba87ac6 2 /// Written by benkatz, with much inspiration from bayleyw, nkirkby, scolton, David Otten, and others
benkatz 22:60276ba87ac6 3 /// Hardware documentation can be found at build-its.blogspot.com
benkatz 22:60276ba87ac6 4 /// Written for the STM32F446, but can be implemented on other STM32 MCU's with some further register-diddling
benkatz 22:60276ba87ac6 5
benkatz 23:2adf23ee0305 6 #define REST_MODE 0
benkatz 23:2adf23ee0305 7 #define CALIBRATION_MODE 1
benkatz 26:2b865c00d7e9 8 #define MOTOR_MODE 2
benkatz 23:2adf23ee0305 9 #define SETUP_MODE 4
benkatz 23:2adf23ee0305 10 #define ENCODER_MODE 5
benkatz 22:60276ba87ac6 11
benkatz 44:efcde0af8390 12 #define VERSION_NUM "1.6"
benkatz 26:2b865c00d7e9 13
benkatz 18:f1d56f4acb39 14
benkatz 26:2b865c00d7e9 15 float __float_reg[64]; // Floats stored in flash
shaorui 50:f460323fc492 16 int __int_reg[256];
shaorui 50:f460323fc492 17 int flag=0; // Ints stored in flash. Includes position sensor calibration lookup table
benkatz 17:3c5df2982199 18
benkatz 0:4e1c4df6aabd 19 #include "mbed.h"
benkatz 0:4e1c4df6aabd 20 #include "PositionSensor.h"
benkatz 20:bf9ea5125d52 21 #include "structs.h"
benkatz 20:bf9ea5125d52 22 #include "foc.h"
benkatz 22:60276ba87ac6 23 #include "calibration.h"
benkatz 20:bf9ea5125d52 24 #include "hw_setup.h"
benkatz 23:2adf23ee0305 25 #include "math_ops.h"
benkatz 20:bf9ea5125d52 26 #include "current_controller_config.h"
benkatz 20:bf9ea5125d52 27 #include "hw_config.h"
benkatz 20:bf9ea5125d52 28 #include "motor_config.h"
benkatz 23:2adf23ee0305 29 #include "stm32f4xx_flash.h"
benkatz 23:2adf23ee0305 30 #include "FlashWriter.h"
benkatz 23:2adf23ee0305 31 #include "user_config.h"
benkatz 23:2adf23ee0305 32 #include "PreferenceWriter.h"
benkatz 42:738fa01b0346 33 #include "CAN_com.h"
benkatz 37:c0f352d6e8e3 34
benkatz 26:2b865c00d7e9 35
benkatz 23:2adf23ee0305 36 PreferenceWriter prefs(6);
benkatz 9:d7eb815cb057 37
benkatz 20:bf9ea5125d52 38 GPIOStruct gpio;
benkatz 20:bf9ea5125d52 39 ControllerStruct controller;
benkatz 20:bf9ea5125d52 40 COMStruct com;
benkatz 37:c0f352d6e8e3 41 ObserverStruct observer;
benkatz 43:dfb72608639c 42 Serial pc(PA_2, PA_3);
benkatz 9:d7eb815cb057 43
benkatz 17:3c5df2982199 44
Rushu 47:55bdc4d5096b 45 CAN can(PB_8, PB_9, 1000000); // CAN Rx pin name, CAN Tx pin name, 1000kbps
benkatz 26:2b865c00d7e9 46 CANMessage rxMsg;
benkatz 26:2b865c00d7e9 47 CANMessage txMsg;
benkatz 23:2adf23ee0305 48
benkatz 20:bf9ea5125d52 49
benkatz 8:10ae7bc88d6e 50
Rushu 47:55bdc4d5096b 51 PositionSensorAM5147 spi(16384, 0.0, NPP); //14 bits encoder, 21 NPP
benkatz 20:bf9ea5125d52 52
benkatz 23:2adf23ee0305 53 volatile int state = REST_MODE;
benkatz 23:2adf23ee0305 54 volatile int state_change;
shaorui 50:f460323fc492 55 volatile int count = 0;
shaorui 50:f460323fc492 56 int reg_count=0;
benkatz 26:2b865c00d7e9 57 void onMsgReceived() {
benkatz 26:2b865c00d7e9 58 //msgAvailable = true;
benkatz 45:aadebe074af6 59
benkatz 26:2b865c00d7e9 60 can.read(rxMsg);
benkatz 28:8c7e29f719c5 61 if((rxMsg.id == CAN_ID)){
shaorui 50:f460323fc492 62 flag=1;
benkatz 28:8c7e29f719c5 63 controller.timeout = 0;
benkatz 28:8c7e29f719c5 64 if(((rxMsg.data[0]==0xFF) & (rxMsg.data[1]==0xFF) & (rxMsg.data[2]==0xFF) & (rxMsg.data[3]==0xFF) & (rxMsg.data[4]==0xFF) & (rxMsg.data[5]==0xFF) & (rxMsg.data[6]==0xFF) & (rxMsg.data[7]==0xFC))){
benkatz 28:8c7e29f719c5 65 state = MOTOR_MODE;
benkatz 28:8c7e29f719c5 66 state_change = 1;
benkatz 28:8c7e29f719c5 67 }
benkatz 28:8c7e29f719c5 68 else if(((rxMsg.data[0]==0xFF) & (rxMsg.data[1]==0xFF) & (rxMsg.data[2]==0xFF) & (rxMsg.data[3]==0xFF) * (rxMsg.data[4]==0xFF) & (rxMsg.data[5]==0xFF) & (rxMsg.data[6]==0xFF) & (rxMsg.data[7]==0xFD))){
benkatz 28:8c7e29f719c5 69 state = REST_MODE;
benkatz 28:8c7e29f719c5 70 state_change = 1;
benkatz 37:c0f352d6e8e3 71 gpio.led->write(0);;
benkatz 28:8c7e29f719c5 72 }
benkatz 28:8c7e29f719c5 73 else if(((rxMsg.data[0]==0xFF) & (rxMsg.data[1]==0xFF) & (rxMsg.data[2]==0xFF) & (rxMsg.data[3]==0xFF) * (rxMsg.data[4]==0xFF) & (rxMsg.data[5]==0xFF) & (rxMsg.data[6]==0xFF) & (rxMsg.data[7]==0xFE))){
benkatz 28:8c7e29f719c5 74 spi.ZeroPosition();
benkatz 28:8c7e29f719c5 75 }
benkatz 28:8c7e29f719c5 76 else if(state == MOTOR_MODE){
benkatz 28:8c7e29f719c5 77 unpack_cmd(rxMsg, &controller);
benkatz 28:8c7e29f719c5 78 }
benkatz 37:c0f352d6e8e3 79 pack_reply(&txMsg, controller.theta_mech, controller.dtheta_mech, controller.i_q_filt*KT_OUT);
benkatz 37:c0f352d6e8e3 80 can.write(txMsg);
benkatz 28:8c7e29f719c5 81 }
benkatz 26:2b865c00d7e9 82
benkatz 26:2b865c00d7e9 83 }
benkatz 26:2b865c00d7e9 84
benkatz 23:2adf23ee0305 85 void enter_menu_state(void){
benkatz 23:2adf23ee0305 86 printf("\n\r\n\r\n\r");
benkatz 23:2adf23ee0305 87 printf(" Commands:\n\r");
benkatz 44:efcde0af8390 88 wait_us(10);
benkatz 26:2b865c00d7e9 89 printf(" m - Motor Mode\n\r");
benkatz 44:efcde0af8390 90 wait_us(10);
benkatz 23:2adf23ee0305 91 printf(" c - Calibrate Encoder\n\r");
benkatz 44:efcde0af8390 92 wait_us(10);
benkatz 23:2adf23ee0305 93 printf(" s - Setup\n\r");
benkatz 44:efcde0af8390 94 wait_us(10);
benkatz 23:2adf23ee0305 95 printf(" e - Display Encoder\n\r");
benkatz 44:efcde0af8390 96 wait_us(10);
benkatz 37:c0f352d6e8e3 97 printf(" z - Set Zero Position\n\r");
benkatz 44:efcde0af8390 98 wait_us(10);
benkatz 23:2adf23ee0305 99 printf(" esc - Exit to Menu\n\r");
benkatz 44:efcde0af8390 100 wait_us(10);
benkatz 23:2adf23ee0305 101 state_change = 0;
benkatz 25:f5741040c4bb 102 gpio.enable->write(0);
benkatz 37:c0f352d6e8e3 103 gpio.led->write(0);
benkatz 23:2adf23ee0305 104 }
benkatz 24:58c2d7571207 105
benkatz 24:58c2d7571207 106 void enter_setup_state(void){
benkatz 24:58c2d7571207 107 printf("\n\r\n\r Configuration Options \n\r\n\n");
benkatz 44:efcde0af8390 108 wait_us(10);
benkatz 28:8c7e29f719c5 109 printf(" %-4s %-31s %-5s %-6s %-5s\n\r\n\r", "prefix", "parameter", "min", "max", "current value");
benkatz 44:efcde0af8390 110 wait_us(10);
benkatz 28:8c7e29f719c5 111 printf(" %-4s %-31s %-5s %-6s %.1f\n\r", "b", "Current Bandwidth (Hz)", "100", "2000", I_BW);
benkatz 44:efcde0af8390 112 wait_us(10);
benkatz 28:8c7e29f719c5 113 printf(" %-4s %-31s %-5s %-6s %-5i\n\r", "i", "CAN ID", "0", "127", CAN_ID);
benkatz 44:efcde0af8390 114 wait_us(10);
benkatz 28:8c7e29f719c5 115 printf(" %-4s %-31s %-5s %-6s %-5i\n\r", "m", "CAN Master ID", "0", "127", CAN_MASTER);
benkatz 44:efcde0af8390 116 wait_us(10);
benkatz 28:8c7e29f719c5 117 printf(" %-4s %-31s %-5s %-6s %.1f\n\r", "l", "Torque Limit (N-m)", "0.0", "18.0", TORQUE_LIMIT);
benkatz 44:efcde0af8390 118 wait_us(10);
benkatz 28:8c7e29f719c5 119 printf(" %-4s %-31s %-5s %-6s %d\n\r", "t", "CAN Timeout (cycles)(0 = none)", "0", "100000", CAN_TIMEOUT);
benkatz 44:efcde0af8390 120 wait_us(10);
benkatz 24:58c2d7571207 121 printf("\n\r To change a value, type 'prefix''value''ENTER'\n\r i.e. 'b1000''ENTER'\n\r\n\r");
benkatz 44:efcde0af8390 122 wait_us(10);
benkatz 24:58c2d7571207 123 state_change = 0;
benkatz 24:58c2d7571207 124 }
benkatz 22:60276ba87ac6 125
benkatz 23:2adf23ee0305 126 void enter_torque_mode(void){
benkatz 37:c0f352d6e8e3 127 controller.ovp_flag = 0;
benkatz 28:8c7e29f719c5 128 gpio.enable->write(1); // Enable gate drive
benkatz 28:8c7e29f719c5 129 reset_foc(&controller); // Tesets integrators, and other control loop parameters
benkatz 28:8c7e29f719c5 130 wait(.001);
benkatz 23:2adf23ee0305 131 controller.i_d_ref = 0;
benkatz 28:8c7e29f719c5 132 controller.i_q_ref = 0; // Current Setpoints
benkatz 37:c0f352d6e8e3 133 gpio.led->write(1); // Turn on status LED
benkatz 25:f5741040c4bb 134 state_change = 0;
benkatz 28:8c7e29f719c5 135 printf("\n\r Entering Motor Mode \n\r");
benkatz 23:2adf23ee0305 136 }
benkatz 22:60276ba87ac6 137
benkatz 23:2adf23ee0305 138 void calibrate(void){
benkatz 25:f5741040c4bb 139 gpio.enable->write(1); // Enable gate drive
benkatz 37:c0f352d6e8e3 140 gpio.led->write(1); // Turn on status LED
benkatz 25:f5741040c4bb 141 order_phases(&spi, &gpio, &controller, &prefs); // Check phase ordering
benkatz 25:f5741040c4bb 142 calibrate(&spi, &gpio, &controller, &prefs); // Perform calibration procedure
benkatz 37:c0f352d6e8e3 143 gpio.led->write(0);; // Turn off status LED
benkatz 23:2adf23ee0305 144 wait(.2);
benkatz 25:f5741040c4bb 145 gpio.enable->write(0); // Turn off gate drive
benkatz 23:2adf23ee0305 146 printf("\n\r Calibration complete. Press 'esc' to return to menu\n\r");
benkatz 23:2adf23ee0305 147 state_change = 0;
benkatz 23:2adf23ee0305 148 }
benkatz 23:2adf23ee0305 149
benkatz 23:2adf23ee0305 150 void print_encoder(void){
benkatz 23:2adf23ee0305 151 printf(" Mechanical Angle: %f Electrical Angle: %f Raw: %d\n\r", spi.GetMechPosition(), spi.GetElecPosition(), spi.GetRawPosition());
shaorui 50:f460323fc492 152 for(reg_count=0;reg_count<=263;reg_count++)
shaorui 50:f460323fc492 153 {
shaorui 50:f460323fc492 154 printf("%d %d\n\r",reg_count,__int_reg[reg_count]);
shaorui 50:f460323fc492 155 }
benkatz 23:2adf23ee0305 156 wait(.05);
benkatz 22:60276ba87ac6 157 }
benkatz 20:bf9ea5125d52 158
benkatz 23:2adf23ee0305 159 /// Current Sampling Interrupt ///
benkatz 23:2adf23ee0305 160 /// This runs at 40 kHz, regardless of of the mode the controller is in ///
benkatz 2:8724412ad628 161 extern "C" void TIM1_UP_TIM10_IRQHandler(void) {
benkatz 2:8724412ad628 162 if (TIM1->SR & TIM_SR_UIF ) {
benkatz 23:2adf23ee0305 163
benkatz 23:2adf23ee0305 164 ///Sample current always ///
benkatz 25:f5741040c4bb 165 ADC1->CR2 |= 0x40000000; // Begin sample and conversion
benkatz 22:60276ba87ac6 166 //volatile int delay;
benkatz 20:bf9ea5125d52 167 //for (delay = 0; delay < 55; delay++);
benkatz 37:c0f352d6e8e3 168 controller.adc2_raw = ADC2->DR; // Read ADC Data Registers
benkatz 23:2adf23ee0305 169 controller.adc1_raw = ADC1->DR;
benkatz 37:c0f352d6e8e3 170 controller.adc3_raw = ADC3->DR;
benkatz 45:aadebe074af6 171 spi.Sample(DT); // sample position sensor
benkatz 37:c0f352d6e8e3 172 controller.theta_elec = spi.GetElecPosition();
benkatz 37:c0f352d6e8e3 173 controller.theta_mech = (1.0f/GR)*spi.GetMechPosition();
benkatz 37:c0f352d6e8e3 174 controller.dtheta_mech = (1.0f/GR)*spi.GetMechVelocity();
benkatz 37:c0f352d6e8e3 175 controller.dtheta_elec = spi.GetElecVelocity();
benkatz 37:c0f352d6e8e3 176 controller.v_bus = 0.95f*controller.v_bus + 0.05f*((float)controller.adc3_raw)*V_SCALE;
benkatz 23:2adf23ee0305 177 ///
benkatz 20:bf9ea5125d52 178
benkatz 23:2adf23ee0305 179 /// Check state machine state, and run the appropriate function ///
benkatz 23:2adf23ee0305 180 switch(state){
benkatz 37:c0f352d6e8e3 181 case REST_MODE: // Do nothing
benkatz 23:2adf23ee0305 182 if(state_change){
benkatz 23:2adf23ee0305 183 enter_menu_state();
shaorui 50:f460323fc492 184 flag=0;//轨迹位置停止增加
benkatz 23:2adf23ee0305 185 }
benkatz 23:2adf23ee0305 186 break;
benkatz 22:60276ba87ac6 187
benkatz 23:2adf23ee0305 188 case CALIBRATION_MODE: // Run encoder calibration procedure
benkatz 23:2adf23ee0305 189 if(state_change){
benkatz 23:2adf23ee0305 190 calibrate();
benkatz 23:2adf23ee0305 191 }
benkatz 23:2adf23ee0305 192 break;
benkatz 23:2adf23ee0305 193
benkatz 26:2b865c00d7e9 194 case MOTOR_MODE: // Run torque control
benkatz 25:f5741040c4bb 195 if(state_change){
benkatz 25:f5741040c4bb 196 enter_torque_mode();
benkatz 28:8c7e29f719c5 197 count = 0;
shaorui 50:f460323fc492 198 controller.p_init_pos= controller.theta_mech;//shaorui add for torque test
benkatz 25:f5741040c4bb 199 }
benkatz 28:8c7e29f719c5 200 else{
benkatz 37:c0f352d6e8e3 201 /*
benkatz 37:c0f352d6e8e3 202 if(controller.v_bus>28.0f){ //Turn of gate drive if bus voltage is too high, to prevent FETsplosion if the bus is cut during regen
benkatz 37:c0f352d6e8e3 203 gpio.enable->write(0);
benkatz 37:c0f352d6e8e3 204 controller.ovp_flag = 1;
benkatz 37:c0f352d6e8e3 205 state = REST_MODE;
benkatz 37:c0f352d6e8e3 206 state_change = 1;
benkatz 37:c0f352d6e8e3 207 printf("OVP Triggered!\n\r");
benkatz 37:c0f352d6e8e3 208 }
benkatz 37:c0f352d6e8e3 209 */
shaorui 50:f460323fc492 210 if(flag==1)
shaorui 50:f460323fc492 211 {
shaorui 50:f460323fc492 212 controller.p_des =controller.p_init_pos + controller.v_des*count/(40000);
shaorui 50:f460323fc492 213 //shaorui end
shaorui 50:f460323fc492 214 }
benkatz 40:cd7e837b2b93 215 torque_control(&controller);
benkatz 28:8c7e29f719c5 216 if((controller.timeout > CAN_TIMEOUT) && (CAN_TIMEOUT > 0)){
benkatz 28:8c7e29f719c5 217 controller.i_d_ref = 0;
benkatz 28:8c7e29f719c5 218 controller.i_q_ref = 0;
benkatz 37:c0f352d6e8e3 219 controller.kp = 0;
benkatz 37:c0f352d6e8e3 220 controller.kd = 0;
benkatz 37:c0f352d6e8e3 221 controller.t_ff = 0;
benkatz 28:8c7e29f719c5 222 }
benkatz 37:c0f352d6e8e3 223 commutate(&controller, &observer, &gpio, controller.theta_elec); // Run current loop
benkatz 28:8c7e29f719c5 224 controller.timeout += 1;
shaorui 50:f460323fc492 225 count++; //shaorui add for torque_trajectory time count
benkatz 37:c0f352d6e8e3 226 }
benkatz 23:2adf23ee0305 227 break;
benkatz 23:2adf23ee0305 228 case SETUP_MODE:
benkatz 23:2adf23ee0305 229 if(state_change){
benkatz 24:58c2d7571207 230 enter_setup_state();
benkatz 23:2adf23ee0305 231 }
benkatz 23:2adf23ee0305 232 break;
benkatz 23:2adf23ee0305 233 case ENCODER_MODE:
benkatz 23:2adf23ee0305 234 print_encoder();
benkatz 23:2adf23ee0305 235 break;
benkatz 37:c0f352d6e8e3 236 }
benkatz 2:8724412ad628 237 }
benkatz 23:2adf23ee0305 238 TIM1->SR = 0x0; // reset the status register
benkatz 2:8724412ad628 239 }
benkatz 0:4e1c4df6aabd 240
benkatz 25:f5741040c4bb 241
benkatz 24:58c2d7571207 242 char cmd_val[8] = {0};
benkatz 24:58c2d7571207 243 char cmd_id = 0;
benkatz 25:f5741040c4bb 244 char char_count = 0;
benkatz 24:58c2d7571207 245
benkatz 25:f5741040c4bb 246 /// Manage state machine with commands from serial terminal or configurator gui ///
benkatz 25:f5741040c4bb 247 /// Called when data received over serial ///
benkatz 23:2adf23ee0305 248 void serial_interrupt(void){
benkatz 23:2adf23ee0305 249 while(pc.readable()){
benkatz 23:2adf23ee0305 250 char c = pc.getc();
benkatz 25:f5741040c4bb 251 if(c == 27){
benkatz 25:f5741040c4bb 252 state = REST_MODE;
benkatz 25:f5741040c4bb 253 state_change = 1;
benkatz 25:f5741040c4bb 254 char_count = 0;
benkatz 25:f5741040c4bb 255 cmd_id = 0;
benkatz 37:c0f352d6e8e3 256 gpio.led->write(0);;
benkatz 25:f5741040c4bb 257 for(int i = 0; i<8; i++){cmd_val[i] = 0;}
benkatz 25:f5741040c4bb 258 }
benkatz 24:58c2d7571207 259 if(state == REST_MODE){
benkatz 23:2adf23ee0305 260 switch (c){
benkatz 23:2adf23ee0305 261 case 'c':
benkatz 23:2adf23ee0305 262 state = CALIBRATION_MODE;
benkatz 23:2adf23ee0305 263 state_change = 1;
benkatz 23:2adf23ee0305 264 break;
benkatz 26:2b865c00d7e9 265 case 'm':
benkatz 26:2b865c00d7e9 266 state = MOTOR_MODE;
benkatz 23:2adf23ee0305 267 state_change = 1;
benkatz 23:2adf23ee0305 268 break;
benkatz 23:2adf23ee0305 269 case 'e':
benkatz 23:2adf23ee0305 270 state = ENCODER_MODE;
benkatz 23:2adf23ee0305 271 state_change = 1;
benkatz 23:2adf23ee0305 272 break;
benkatz 23:2adf23ee0305 273 case 's':
benkatz 23:2adf23ee0305 274 state = SETUP_MODE;
benkatz 23:2adf23ee0305 275 state_change = 1;
benkatz 23:2adf23ee0305 276 break;
benkatz 37:c0f352d6e8e3 277 case 'z':
benkatz 37:c0f352d6e8e3 278 spi.SetMechOffset(0);
benkatz 45:aadebe074af6 279 spi.Sample(DT);
benkatz 37:c0f352d6e8e3 280 wait_us(20);
benkatz 37:c0f352d6e8e3 281 M_OFFSET = spi.GetMechPosition();
benkatz 37:c0f352d6e8e3 282 if (!prefs.ready()) prefs.open();
benkatz 37:c0f352d6e8e3 283 prefs.flush(); // Write new prefs to flash
benkatz 37:c0f352d6e8e3 284 prefs.close();
benkatz 37:c0f352d6e8e3 285 prefs.load();
benkatz 37:c0f352d6e8e3 286 spi.SetMechOffset(M_OFFSET);
benkatz 37:c0f352d6e8e3 287 printf("\n\r Saved new zero position: %.4f\n\r\n\r", M_OFFSET);
benkatz 37:c0f352d6e8e3 288
benkatz 37:c0f352d6e8e3 289 break;
benkatz 37:c0f352d6e8e3 290 }
benkatz 37:c0f352d6e8e3 291
benkatz 24:58c2d7571207 292 }
benkatz 24:58c2d7571207 293 else if(state == SETUP_MODE){
benkatz 25:f5741040c4bb 294 if(c == 13){
benkatz 24:58c2d7571207 295 switch (cmd_id){
benkatz 24:58c2d7571207 296 case 'b':
benkatz 24:58c2d7571207 297 I_BW = fmaxf(fminf(atof(cmd_val), 2000.0f), 100.0f);
benkatz 24:58c2d7571207 298 break;
benkatz 24:58c2d7571207 299 case 'i':
benkatz 24:58c2d7571207 300 CAN_ID = atoi(cmd_val);
benkatz 24:58c2d7571207 301 break;
benkatz 26:2b865c00d7e9 302 case 'm':
benkatz 26:2b865c00d7e9 303 CAN_MASTER = atoi(cmd_val);
benkatz 26:2b865c00d7e9 304 break;
benkatz 24:58c2d7571207 305 case 'l':
benkatz 24:58c2d7571207 306 TORQUE_LIMIT = fmaxf(fminf(atof(cmd_val), 18.0f), 0.0f);
benkatz 24:58c2d7571207 307 break;
benkatz 28:8c7e29f719c5 308 case 't':
benkatz 28:8c7e29f719c5 309 CAN_TIMEOUT = atoi(cmd_val);
benkatz 28:8c7e29f719c5 310 break;
benkatz 24:58c2d7571207 311 default:
benkatz 24:58c2d7571207 312 printf("\n\r '%c' Not a valid command prefix\n\r\n\r", cmd_id);
benkatz 24:58c2d7571207 313 break;
benkatz 24:58c2d7571207 314 }
benkatz 24:58c2d7571207 315
benkatz 24:58c2d7571207 316 if (!prefs.ready()) prefs.open();
benkatz 24:58c2d7571207 317 prefs.flush(); // Write new prefs to flash
benkatz 24:58c2d7571207 318 prefs.close();
benkatz 24:58c2d7571207 319 prefs.load();
benkatz 24:58c2d7571207 320 state_change = 1;
benkatz 24:58c2d7571207 321 char_count = 0;
benkatz 24:58c2d7571207 322 cmd_id = 0;
benkatz 24:58c2d7571207 323 for(int i = 0; i<8; i++){cmd_val[i] = 0;}
benkatz 24:58c2d7571207 324 }
benkatz 24:58c2d7571207 325 else{
benkatz 24:58c2d7571207 326 if(char_count == 0){cmd_id = c;}
benkatz 24:58c2d7571207 327 else{
benkatz 24:58c2d7571207 328 cmd_val[char_count-1] = c;
benkatz 24:58c2d7571207 329
benkatz 24:58c2d7571207 330 }
benkatz 24:58c2d7571207 331 pc.putc(c);
benkatz 24:58c2d7571207 332 char_count++;
benkatz 23:2adf23ee0305 333 }
benkatz 23:2adf23ee0305 334 }
benkatz 24:58c2d7571207 335 else if (state == ENCODER_MODE){
benkatz 24:58c2d7571207 336 switch (c){
benkatz 24:58c2d7571207 337 case 27:
benkatz 24:58c2d7571207 338 state = REST_MODE;
benkatz 24:58c2d7571207 339 state_change = 1;
benkatz 24:58c2d7571207 340 break;
benkatz 24:58c2d7571207 341 }
benkatz 24:58c2d7571207 342 }
benkatz 24:58c2d7571207 343
benkatz 24:58c2d7571207 344 }
benkatz 22:60276ba87ac6 345 }
benkatz 0:4e1c4df6aabd 346
benkatz 0:4e1c4df6aabd 347 int main() {
benkatz 45:aadebe074af6 348
benkatz 20:bf9ea5125d52 349 controller.v_bus = V_BUS;
benkatz 22:60276ba87ac6 350 controller.mode = 0;
benkatz 23:2adf23ee0305 351 Init_All_HW(&gpio); // Setup PWM, ADC, GPIO
benkatz 20:bf9ea5125d52 352
benkatz 9:d7eb815cb057 353 wait(.1);
benkatz 26:2b865c00d7e9 354 gpio.enable->write(1);
benkatz 45:aadebe074af6 355 TIM1->CCR3 = PWM_ARR*(1.0f); // Write duty cycles
benkatz 45:aadebe074af6 356 TIM1->CCR2 = PWM_ARR*(1.0f);
benkatz 45:aadebe074af6 357 TIM1->CCR1 = PWM_ARR*(1.0f);
benkatz 23:2adf23ee0305 358 zero_current(&controller.adc1_offset, &controller.adc2_offset); // Measure current sensor zero-offset
benkatz 26:2b865c00d7e9 359 gpio.enable->write(0);
benkatz 23:2adf23ee0305 360 reset_foc(&controller); // Reset current controller
benkatz 26:2b865c00d7e9 361 TIM1->CR1 ^= TIM_CR1_UDIS;
benkatz 26:2b865c00d7e9 362 //TIM1->CR1 |= TIM_CR1_UDIS; //enable interrupt
benkatz 20:bf9ea5125d52 363
benkatz 20:bf9ea5125d52 364 wait(.1);
benkatz 37:c0f352d6e8e3 365 NVIC_SetPriority(TIM1_UP_TIM10_IRQn, 2); // commutation > communication
benkatz 43:dfb72608639c 366
benkatz 37:c0f352d6e8e3 367 NVIC_SetPriority(CAN1_RX0_IRQn, 3);
benkatz 26:2b865c00d7e9 368 can.filter(CAN_ID<<21, 0xFFE00004, CANStandard, 0);
benkatz 43:dfb72608639c 369
benkatz 28:8c7e29f719c5 370 txMsg.id = CAN_MASTER;
benkatz 28:8c7e29f719c5 371 txMsg.len = 6;
benkatz 26:2b865c00d7e9 372 rxMsg.len = 8;
benkatz 43:dfb72608639c 373 can.attach(&onMsgReceived); // attach 'CAN receive-complete' interrupt handler
benkatz 23:2adf23ee0305 374
benkatz 25:f5741040c4bb 375 prefs.load(); // Read flash
benkatz 37:c0f352d6e8e3 376 if(isnan(E_OFFSET)){E_OFFSET = 0.0f;}
benkatz 37:c0f352d6e8e3 377 if(isnan(M_OFFSET)){M_OFFSET = 0.0f;}
benkatz 25:f5741040c4bb 378 spi.SetElecOffset(E_OFFSET); // Set position sensor offset
benkatz 37:c0f352d6e8e3 379 spi.SetMechOffset(M_OFFSET);
benkatz 23:2adf23ee0305 380 int lut[128] = {0};
benkatz 23:2adf23ee0305 381 memcpy(&lut, &ENCODER_LUT, sizeof(lut));
benkatz 25:f5741040c4bb 382 spi.WriteLUT(lut); // Set potision sensor nonlinearity lookup table
benkatz 23:2adf23ee0305 383
Rushu 47:55bdc4d5096b 384 pc.baud(115200);//pc.baud(921600); // set serial baud rate
benkatz 20:bf9ea5125d52 385 wait(.01);
benkatz 23:2adf23ee0305 386 pc.printf("\n\r\n\r HobbyKing Cheetah\n\r\n\r");
benkatz 20:bf9ea5125d52 387 wait(.01);
benkatz 23:2adf23ee0305 388 printf("\n\r Debug Info:\n\r");
benkatz 32:ccac5da77844 389 printf(" Firmware Version: %s\n\r", VERSION_NUM);
benkatz 23:2adf23ee0305 390 printf(" ADC1 Offset: %d ADC2 Offset: %d\n\r", controller.adc1_offset, controller.adc2_offset);
benkatz 23:2adf23ee0305 391 printf(" Position Sensor Electrical Offset: %.4f\n\r", E_OFFSET);
benkatz 37:c0f352d6e8e3 392 printf(" Output Zero Position: %.4f\n\r", M_OFFSET);
benkatz 24:58c2d7571207 393 printf(" CAN ID: %d\n\r", CAN_ID);
benkatz 23:2adf23ee0305 394
benkatz 23:2adf23ee0305 395 pc.attach(&serial_interrupt); // attach serial interrupt
benkatz 22:60276ba87ac6 396
benkatz 23:2adf23ee0305 397 state_change = 1;
benkatz 20:bf9ea5125d52 398
benkatz 22:60276ba87ac6 399
benkatz 0:4e1c4df6aabd 400 while(1) {
shaorui 50:f460323fc492 401 //if(state == MOTOR_MODE)
shaorui 50:f460323fc492 402 // {
shaorui 50:f460323fc492 403 /*
shaorui 50:f460323fc492 404 printf("p_des: %.3f p_real: %.3f E: %.3f \n\r", controller.p_des*360/(2*PI),controller.theta_mech*360/(2*PI),(controller.p_des-controller.theta_mech)*360/(2*PI));
shaorui 50:f460323fc492 405
shaorui 50:f460323fc492 406 printf("v_des(r/min): %.3f v_real(r/min): %.3f E(./s): %.3f \n\r",
shaorui 50:f460323fc492 407 controller.v_des*GR*60/(2*PI),controller.dtheta_mech*GR*60/(2*PI),(controller.v_des-controller.dtheta_mech)*360/(2*PI));
shaorui 50:f460323fc492 408 printf("kp %.3f,kd:%.3f\n\r",controller.kp,controller.kd);
shaorui 50:f460323fc492 409 printf("i_q_ref: %.3f\n\r", controller.i_q_ref);
shaorui 50:f460323fc492 410 //printf("i_d: %.3f \n\r", controller.i_d);*/
shaorui 50:f460323fc492 411 // printf("v_real(r/min): %.3f kp: %.3f kd: %.3f vdes: %.3f pdes: %.3f iq: %.3f iq_f: %.3f\n", controller.dtheta_mech*GR*60/(2*PI),controller.kp,controller.kd, controller.v_des*GR*60/(2*PI),controller.p_des,controller.i_q,controller.i_q_filt);
shaorui 50:f460323fc492 412 printf("v_real(r/min): %.3f vdes: %.3f \n\r", controller.dtheta_mech*GR*60/(2*PI),controller.v_des*GR*60/(2*PI));
shaorui 50:f460323fc492 413 // printf("%04.3f%03.3f%01.3f%01.3f%03.3f%01.3f%01.3f\n", controller.dtheta_mech*GR*60/(2*PI),controller.kp,controller.kd, controller.v_des*GR*60/(2*PI),controller.p_des,controller.i_q,controller.i_q_filt);
shaorui 50:f460323fc492 414 printf("q: %.3f q_filt: %.3f \n\r", controller.i_q,controller.i_q_filt);
shaorui 50:f460323fc492 415 wait(1);
shaorui 50:f460323fc492 416 // }
benkatz 11:c83b18d41e54 417
benkatz 0:4e1c4df6aabd 418 }
benkatz 0:4e1c4df6aabd 419 }