mbed library sources. Supersedes mbed-src.

Dependents:   Nucleo_Hello_Encoder BLE_iBeaconScan AM1805_DEMO DISCO-F429ZI_ExportTemplate1 ... more

Committer:
<>
Date:
Mon Jan 16 15:03:32 2017 +0000
Revision:
156:95d6b41a828b
Child:
180:96ed750bd169
This updates the lib to the mbed lib v134

Who changed what in which revision?

UserRevisionLine numberNew contents of line
<> 156:95d6b41a828b 1 /**
<> 156:95d6b41a828b 2 ******************************************************************************
<> 156:95d6b41a828b 3 * @file stm32f0xx_ll_rtc.h
<> 156:95d6b41a828b 4 * @author MCD Application Team
<> 156:95d6b41a828b 5 * @version V1.4.0
<> 156:95d6b41a828b 6 * @date 27-May-2016
<> 156:95d6b41a828b 7 * @brief Header file of RTC LL module.
<> 156:95d6b41a828b 8 ******************************************************************************
<> 156:95d6b41a828b 9 * @attention
<> 156:95d6b41a828b 10 *
<> 156:95d6b41a828b 11 * <h2><center>&copy; COPYRIGHT(c) 2016 STMicroelectronics</center></h2>
<> 156:95d6b41a828b 12 *
<> 156:95d6b41a828b 13 * Redistribution and use in source and binary forms, with or without modification,
<> 156:95d6b41a828b 14 * are permitted provided that the following conditions are met:
<> 156:95d6b41a828b 15 * 1. Redistributions of source code must retain the above copyright notice,
<> 156:95d6b41a828b 16 * this list of conditions and the following disclaimer.
<> 156:95d6b41a828b 17 * 2. Redistributions in binary form must reproduce the above copyright notice,
<> 156:95d6b41a828b 18 * this list of conditions and the following disclaimer in the documentation
<> 156:95d6b41a828b 19 * and/or other materials provided with the distribution.
<> 156:95d6b41a828b 20 * 3. Neither the name of STMicroelectronics nor the names of its contributors
<> 156:95d6b41a828b 21 * may be used to endorse or promote products derived from this software
<> 156:95d6b41a828b 22 * without specific prior written permission.
<> 156:95d6b41a828b 23 *
<> 156:95d6b41a828b 24 * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
<> 156:95d6b41a828b 25 * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
<> 156:95d6b41a828b 26 * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
<> 156:95d6b41a828b 27 * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
<> 156:95d6b41a828b 28 * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
<> 156:95d6b41a828b 29 * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
<> 156:95d6b41a828b 30 * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
<> 156:95d6b41a828b 31 * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
<> 156:95d6b41a828b 32 * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
<> 156:95d6b41a828b 33 * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
<> 156:95d6b41a828b 34 *
<> 156:95d6b41a828b 35 ******************************************************************************
<> 156:95d6b41a828b 36 */
<> 156:95d6b41a828b 37
<> 156:95d6b41a828b 38 /* Define to prevent recursive inclusion -------------------------------------*/
<> 156:95d6b41a828b 39 #ifndef __STM32F0xx_LL_RTC_H
<> 156:95d6b41a828b 40 #define __STM32F0xx_LL_RTC_H
<> 156:95d6b41a828b 41
<> 156:95d6b41a828b 42 #ifdef __cplusplus
<> 156:95d6b41a828b 43 extern "C" {
<> 156:95d6b41a828b 44 #endif
<> 156:95d6b41a828b 45
<> 156:95d6b41a828b 46 /* Includes ------------------------------------------------------------------*/
<> 156:95d6b41a828b 47 #include "stm32f0xx.h"
<> 156:95d6b41a828b 48
<> 156:95d6b41a828b 49 /** @addtogroup STM32F0xx_LL_Driver
<> 156:95d6b41a828b 50 * @{
<> 156:95d6b41a828b 51 */
<> 156:95d6b41a828b 52
<> 156:95d6b41a828b 53 #if defined(RTC)
<> 156:95d6b41a828b 54
<> 156:95d6b41a828b 55 /** @defgroup RTC_LL RTC
<> 156:95d6b41a828b 56 * @{
<> 156:95d6b41a828b 57 */
<> 156:95d6b41a828b 58
<> 156:95d6b41a828b 59 /* Private types -------------------------------------------------------------*/
<> 156:95d6b41a828b 60 /* Private variables ---------------------------------------------------------*/
<> 156:95d6b41a828b 61 /* Private constants ---------------------------------------------------------*/
<> 156:95d6b41a828b 62 /** @defgroup RTC_LL_Private_Constants RTC Private Constants
<> 156:95d6b41a828b 63 * @{
<> 156:95d6b41a828b 64 */
<> 156:95d6b41a828b 65 /* Masks Definition */
<> 156:95d6b41a828b 66 #define RTC_INIT_MASK ((uint32_t)0xFFFFFFFFU)
<> 156:95d6b41a828b 67 #define RTC_RSF_MASK ((uint32_t)0xFFFFFF5FU)
<> 156:95d6b41a828b 68
<> 156:95d6b41a828b 69 /* Write protection defines */
<> 156:95d6b41a828b 70 #define RTC_WRITE_PROTECTION_DISABLE ((uint8_t)0xFFU)
<> 156:95d6b41a828b 71 #define RTC_WRITE_PROTECTION_ENABLE_1 ((uint8_t)0xCAU)
<> 156:95d6b41a828b 72 #define RTC_WRITE_PROTECTION_ENABLE_2 ((uint8_t)0x53U)
<> 156:95d6b41a828b 73
<> 156:95d6b41a828b 74 /* Defines used for the bit position in the register and perform offsets */
<> 156:95d6b41a828b 75 #define RTC_POSITION_TR_HT (uint32_t)20U
<> 156:95d6b41a828b 76 #define RTC_POSITION_TR_HU (uint32_t)16U
<> 156:95d6b41a828b 77 #define RTC_POSITION_TR_MT (uint32_t)12U
<> 156:95d6b41a828b 78 #define RTC_POSITION_TR_MU (uint32_t)8U
<> 156:95d6b41a828b 79 #define RTC_POSITION_TR_ST (uint32_t)4U
<> 156:95d6b41a828b 80 #define RTC_POSITION_TR_SU (uint32_t)0U
<> 156:95d6b41a828b 81 #define RTC_POSITION_DR_YT (uint32_t)20U
<> 156:95d6b41a828b 82 #define RTC_POSITION_DR_YU (uint32_t)16U
<> 156:95d6b41a828b 83 #define RTC_POSITION_DR_MT (uint32_t)12U
<> 156:95d6b41a828b 84 #define RTC_POSITION_DR_MU (uint32_t)8U
<> 156:95d6b41a828b 85 #define RTC_POSITION_DR_DT (uint32_t)4U
<> 156:95d6b41a828b 86 #define RTC_POSITION_DR_DU (uint32_t)0U
<> 156:95d6b41a828b 87 #define RTC_POSITION_DR_WDU (uint32_t)13U
<> 156:95d6b41a828b 88 #define RTC_POSITION_ALMA_DT (uint32_t)28U
<> 156:95d6b41a828b 89 #define RTC_POSITION_ALMA_DU (uint32_t)24U
<> 156:95d6b41a828b 90 #define RTC_POSITION_ALMA_HT (uint32_t)20U
<> 156:95d6b41a828b 91 #define RTC_POSITION_ALMA_HU (uint32_t)16U
<> 156:95d6b41a828b 92 #define RTC_POSITION_ALMA_MT (uint32_t)12U
<> 156:95d6b41a828b 93 #define RTC_POSITION_ALMA_MU (uint32_t)8U
<> 156:95d6b41a828b 94 #define RTC_POSITION_ALMA_SU (uint32_t)0U
<> 156:95d6b41a828b 95 #define RTC_POSITION_ALMA_ST (uint32_t)4U
<> 156:95d6b41a828b 96 #define RTC_POSITION_PRER_PREDIV_A (uint32_t)16U
<> 156:95d6b41a828b 97 #define RTC_POSITION_ALMA_MASKSS (uint32_t)24U
<> 156:95d6b41a828b 98 #define RTC_POSITION_TS_HU (uint32_t)16U
<> 156:95d6b41a828b 99 #define RTC_POSITION_TS_MNU (uint32_t)8U
<> 156:95d6b41a828b 100 #define RTC_POSITION_TS_WDU (uint32_t)13U
<> 156:95d6b41a828b 101 #define RTC_POSITION_TS_MU (uint32_t)8U
<> 156:95d6b41a828b 102
<> 156:95d6b41a828b 103 /* Defines used to combine date & time */
<> 156:95d6b41a828b 104 #define RTC_OFFSET_WEEKDAY (uint32_t)24U
<> 156:95d6b41a828b 105 #define RTC_OFFSET_DAY (uint32_t)16U
<> 156:95d6b41a828b 106 #define RTC_OFFSET_MONTH (uint32_t)8U
<> 156:95d6b41a828b 107 #define RTC_OFFSET_HOUR (uint32_t)16U
<> 156:95d6b41a828b 108 #define RTC_OFFSET_MINUTE (uint32_t)8U
<> 156:95d6b41a828b 109
<> 156:95d6b41a828b 110 /**
<> 156:95d6b41a828b 111 * @}
<> 156:95d6b41a828b 112 */
<> 156:95d6b41a828b 113
<> 156:95d6b41a828b 114 /* Private macros ------------------------------------------------------------*/
<> 156:95d6b41a828b 115 #if defined(USE_FULL_LL_DRIVER)
<> 156:95d6b41a828b 116 /** @defgroup RTC_LL_Private_Macros RTC Private Macros
<> 156:95d6b41a828b 117 * @{
<> 156:95d6b41a828b 118 */
<> 156:95d6b41a828b 119 /**
<> 156:95d6b41a828b 120 * @}
<> 156:95d6b41a828b 121 */
<> 156:95d6b41a828b 122 #endif /*USE_FULL_LL_DRIVER*/
<> 156:95d6b41a828b 123
<> 156:95d6b41a828b 124 /* Exported types ------------------------------------------------------------*/
<> 156:95d6b41a828b 125 #if defined(USE_FULL_LL_DRIVER)
<> 156:95d6b41a828b 126 /** @defgroup RTC_LL_ES_INIT RTC Exported Init structure
<> 156:95d6b41a828b 127 * @{
<> 156:95d6b41a828b 128 */
<> 156:95d6b41a828b 129
<> 156:95d6b41a828b 130 /**
<> 156:95d6b41a828b 131 * @brief RTC Init structures definition
<> 156:95d6b41a828b 132 */
<> 156:95d6b41a828b 133 typedef struct
<> 156:95d6b41a828b 134 {
<> 156:95d6b41a828b 135 uint32_t HourFormat; /*!< Specifies the RTC Hours Format.
<> 156:95d6b41a828b 136 This parameter can be a value of @ref RTC_LL_EC_HOURFORMAT
<> 156:95d6b41a828b 137
<> 156:95d6b41a828b 138 This feature can be modified afterwards using unitary function
<> 156:95d6b41a828b 139 @ref LL_RTC_SetHourFormat(). */
<> 156:95d6b41a828b 140
<> 156:95d6b41a828b 141 uint32_t AsynchPrescaler; /*!< Specifies the RTC Asynchronous Predivider value.
<> 156:95d6b41a828b 142 This parameter must be a number between Min_Data = 0x00 and Max_Data = 0x7F
<> 156:95d6b41a828b 143
<> 156:95d6b41a828b 144 This feature can be modified afterwards using unitary function
<> 156:95d6b41a828b 145 @ref LL_RTC_SetAsynchPrescaler(). */
<> 156:95d6b41a828b 146
<> 156:95d6b41a828b 147 uint32_t SynchPrescaler; /*!< Specifies the RTC Synchronous Predivider value.
<> 156:95d6b41a828b 148 This parameter must be a number between Min_Data = 0x00 and Max_Data = 0x7FFF
<> 156:95d6b41a828b 149
<> 156:95d6b41a828b 150 This feature can be modified afterwards using unitary function
<> 156:95d6b41a828b 151 @ref LL_RTC_SetSynchPrescaler(). */
<> 156:95d6b41a828b 152 } LL_RTC_InitTypeDef;
<> 156:95d6b41a828b 153
<> 156:95d6b41a828b 154 /**
<> 156:95d6b41a828b 155 * @brief RTC Time structure definition
<> 156:95d6b41a828b 156 */
<> 156:95d6b41a828b 157 typedef struct
<> 156:95d6b41a828b 158 {
<> 156:95d6b41a828b 159 uint32_t TimeFormat; /*!< Specifies the RTC AM/PM Time.
<> 156:95d6b41a828b 160 This parameter can be a value of @ref RTC_LL_EC_TIME_FORMAT
<> 156:95d6b41a828b 161
<> 156:95d6b41a828b 162 This feature can be modified afterwards using unitary function @ref LL_RTC_TIME_SetFormat(). */
<> 156:95d6b41a828b 163
<> 156:95d6b41a828b 164 uint8_t Hours; /*!< Specifies the RTC Time Hours.
<> 156:95d6b41a828b 165 This parameter must be a number between Min_Data = 0 and Max_Data = 12 if the @ref LL_RTC_TIME_FORMAT_PM is selected.
<> 156:95d6b41a828b 166 This parameter must be a number between Min_Data = 0 and Max_Data = 23 if the @ref LL_RTC_TIME_FORMAT_AM_OR_24 is selected.
<> 156:95d6b41a828b 167
<> 156:95d6b41a828b 168 This feature can be modified afterwards using unitary function @ref LL_RTC_TIME_SetHour(). */
<> 156:95d6b41a828b 169
<> 156:95d6b41a828b 170 uint8_t Minutes; /*!< Specifies the RTC Time Minutes.
<> 156:95d6b41a828b 171 This parameter must be a number between Min_Data = 0 and Max_Data = 59
<> 156:95d6b41a828b 172
<> 156:95d6b41a828b 173 This feature can be modified afterwards using unitary function @ref LL_RTC_TIME_SetMinute(). */
<> 156:95d6b41a828b 174
<> 156:95d6b41a828b 175 uint8_t Seconds; /*!< Specifies the RTC Time Seconds.
<> 156:95d6b41a828b 176 This parameter must be a number between Min_Data = 0 and Max_Data = 59
<> 156:95d6b41a828b 177
<> 156:95d6b41a828b 178 This feature can be modified afterwards using unitary function @ref LL_RTC_TIME_SetSecond(). */
<> 156:95d6b41a828b 179 } LL_RTC_TimeTypeDef;
<> 156:95d6b41a828b 180
<> 156:95d6b41a828b 181 /**
<> 156:95d6b41a828b 182 * @brief RTC Date structure definition
<> 156:95d6b41a828b 183 */
<> 156:95d6b41a828b 184 typedef struct
<> 156:95d6b41a828b 185 {
<> 156:95d6b41a828b 186 uint8_t WeekDay; /*!< Specifies the RTC Date WeekDay.
<> 156:95d6b41a828b 187 This parameter can be a value of @ref RTC_LL_EC_WEEKDAY
<> 156:95d6b41a828b 188
<> 156:95d6b41a828b 189 This feature can be modified afterwards using unitary function @ref LL_RTC_DATE_SetWeekDay(). */
<> 156:95d6b41a828b 190
<> 156:95d6b41a828b 191 uint8_t Month; /*!< Specifies the RTC Date Month.
<> 156:95d6b41a828b 192 This parameter can be a value of @ref RTC_LL_EC_MONTH
<> 156:95d6b41a828b 193
<> 156:95d6b41a828b 194 This feature can be modified afterwards using unitary function @ref LL_RTC_DATE_SetMonth(). */
<> 156:95d6b41a828b 195
<> 156:95d6b41a828b 196 uint8_t Day; /*!< Specifies the RTC Date Day.
<> 156:95d6b41a828b 197 This parameter must be a number between Min_Data = 1 and Max_Data = 31
<> 156:95d6b41a828b 198
<> 156:95d6b41a828b 199 This feature can be modified afterwards using unitary function @ref LL_RTC_DATE_SetDay(). */
<> 156:95d6b41a828b 200
<> 156:95d6b41a828b 201 uint8_t Year; /*!< Specifies the RTC Date Year.
<> 156:95d6b41a828b 202 This parameter must be a number between Min_Data = 0 and Max_Data = 99
<> 156:95d6b41a828b 203
<> 156:95d6b41a828b 204 This feature can be modified afterwards using unitary function @ref LL_RTC_DATE_SetYear(). */
<> 156:95d6b41a828b 205 } LL_RTC_DateTypeDef;
<> 156:95d6b41a828b 206
<> 156:95d6b41a828b 207 /**
<> 156:95d6b41a828b 208 * @brief RTC Alarm structure definition
<> 156:95d6b41a828b 209 */
<> 156:95d6b41a828b 210 typedef struct
<> 156:95d6b41a828b 211 {
<> 156:95d6b41a828b 212 LL_RTC_TimeTypeDef AlarmTime; /*!< Specifies the RTC Alarm Time members. */
<> 156:95d6b41a828b 213
<> 156:95d6b41a828b 214 uint32_t AlarmMask; /*!< Specifies the RTC Alarm Masks.
<> 156:95d6b41a828b 215
<> 156:95d6b41a828b 216 This parameter can be a value of @ref RTC_LL_EC_ALMA_MASK
<> 156:95d6b41a828b 217 This feature can be modified afterwards using unitary function @ref LL_RTC_ALMA_SetMask() for ALARM A.
<> 156:95d6b41a828b 218 */
<> 156:95d6b41a828b 219
<> 156:95d6b41a828b 220 uint32_t AlarmDateWeekDaySel; /*!< Specifies the RTC Alarm is on day or WeekDay.
<> 156:95d6b41a828b 221 This parameter can be a value of @ref RTC_LL_EC_ALMA_WEEKDAY_SELECTION
<> 156:95d6b41a828b 222
<> 156:95d6b41a828b 223 This feature can be modified afterwards using unitary function @ref LL_RTC_ALMA_EnableWeekday() or @ref LL_RTC_ALMA_DisableWeekday()
<> 156:95d6b41a828b 224 */
<> 156:95d6b41a828b 225
<> 156:95d6b41a828b 226 uint8_t AlarmDateWeekDay; /*!< Specifies the RTC Alarm Day/WeekDay.
<> 156:95d6b41a828b 227 If AlarmDateWeekDaySel set to day, this parameter must be a number between Min_Data = 1 and Max_Data = 31.
<> 156:95d6b41a828b 228
<> 156:95d6b41a828b 229 This feature can be modified afterwards using unitary function @ref LL_RTC_ALMA_SetDay()
<> 156:95d6b41a828b 230
<> 156:95d6b41a828b 231 If AlarmDateWeekDaySel set to Weekday, this parameter can be a value of @ref RTC_LL_EC_WEEKDAY.
<> 156:95d6b41a828b 232
<> 156:95d6b41a828b 233 This feature can be modified afterwards using unitary function @ref LL_RTC_ALMA_SetWeekDay()
<> 156:95d6b41a828b 234 */
<> 156:95d6b41a828b 235 } LL_RTC_AlarmTypeDef;
<> 156:95d6b41a828b 236
<> 156:95d6b41a828b 237 /**
<> 156:95d6b41a828b 238 * @}
<> 156:95d6b41a828b 239 */
<> 156:95d6b41a828b 240 #endif /* USE_FULL_LL_DRIVER */
<> 156:95d6b41a828b 241
<> 156:95d6b41a828b 242 /* Exported constants --------------------------------------------------------*/
<> 156:95d6b41a828b 243 /** @defgroup RTC_LL_Exported_Constants RTC Exported Constants
<> 156:95d6b41a828b 244 * @{
<> 156:95d6b41a828b 245 */
<> 156:95d6b41a828b 246
<> 156:95d6b41a828b 247 #if defined(USE_FULL_LL_DRIVER)
<> 156:95d6b41a828b 248 /** @defgroup RTC_LL_EC_FORMAT FORMAT
<> 156:95d6b41a828b 249 * @{
<> 156:95d6b41a828b 250 */
<> 156:95d6b41a828b 251 #define LL_RTC_FORMAT_BIN ((uint32_t)0x000000000U) /*!< Binary data format */
<> 156:95d6b41a828b 252 #define LL_RTC_FORMAT_BCD ((uint32_t)0x000000001U) /*!< BCD data format */
<> 156:95d6b41a828b 253 /**
<> 156:95d6b41a828b 254 * @}
<> 156:95d6b41a828b 255 */
<> 156:95d6b41a828b 256
<> 156:95d6b41a828b 257 /** @defgroup RTC_LL_EC_ALMA_WEEKDAY_SELECTION RTC Alarm A Date WeekDay
<> 156:95d6b41a828b 258 * @{
<> 156:95d6b41a828b 259 */
<> 156:95d6b41a828b 260 #define LL_RTC_ALMA_DATEWEEKDAYSEL_DATE ((uint32_t)0x00000000U) /*!< Alarm A Date is selected */
<> 156:95d6b41a828b 261 #define LL_RTC_ALMA_DATEWEEKDAYSEL_WEEKDAY RTC_ALRMAR_WDSEL /*!< Alarm A WeekDay is selected */
<> 156:95d6b41a828b 262 /**
<> 156:95d6b41a828b 263 * @}
<> 156:95d6b41a828b 264 */
<> 156:95d6b41a828b 265
<> 156:95d6b41a828b 266 #endif /* USE_FULL_LL_DRIVER */
<> 156:95d6b41a828b 267
<> 156:95d6b41a828b 268 /** @defgroup RTC_LL_EC_GET_FLAG Get Flags Defines
<> 156:95d6b41a828b 269 * @brief Flags defines which can be used with LL_RTC_ReadReg function
<> 156:95d6b41a828b 270 * @{
<> 156:95d6b41a828b 271 */
<> 156:95d6b41a828b 272 #define LL_RTC_ISR_RECALPF RTC_ISR_RECALPF
<> 156:95d6b41a828b 273 #define LL_RTC_ISR_TAMP3F RTC_ISR_TAMP3F
<> 156:95d6b41a828b 274 #define LL_RTC_ISR_TAMP2F RTC_ISR_TAMP2F
<> 156:95d6b41a828b 275 #define LL_RTC_ISR_TAMP1F RTC_ISR_TAMP1F
<> 156:95d6b41a828b 276 #define LL_RTC_ISR_TSOVF RTC_ISR_TSOVF
<> 156:95d6b41a828b 277 #define LL_RTC_ISR_TSF RTC_ISR_TSF
<> 156:95d6b41a828b 278 #define LL_RTC_ISR_WUTF RTC_ISR_WUTF
<> 156:95d6b41a828b 279 #define LL_RTC_ISR_ALRAF RTC_ISR_ALRAF
<> 156:95d6b41a828b 280 #define LL_RTC_ISR_INITF RTC_ISR_INITF
<> 156:95d6b41a828b 281 #define LL_RTC_ISR_RSF RTC_ISR_RSF
<> 156:95d6b41a828b 282 #define LL_RTC_ISR_INITS RTC_ISR_INITS
<> 156:95d6b41a828b 283 #define LL_RTC_ISR_SHPF RTC_ISR_SHPF
<> 156:95d6b41a828b 284 #define LL_RTC_ISR_WUTWF RTC_ISR_WUTWF
<> 156:95d6b41a828b 285 #define LL_RTC_ISR_ALRAWF RTC_ISR_ALRAWF
<> 156:95d6b41a828b 286 /**
<> 156:95d6b41a828b 287 * @}
<> 156:95d6b41a828b 288 */
<> 156:95d6b41a828b 289
<> 156:95d6b41a828b 290 /** @defgroup RTC_LL_EC_IT IT Defines
<> 156:95d6b41a828b 291 * @brief IT defines which can be used with LL_RTC_ReadReg and LL_RTC_WriteReg functions
<> 156:95d6b41a828b 292 * @{
<> 156:95d6b41a828b 293 */
<> 156:95d6b41a828b 294 #define LL_RTC_CR_TSIE RTC_CR_TSIE
<> 156:95d6b41a828b 295 #define LL_RTC_CR_WUTIE RTC_CR_WUTIE
<> 156:95d6b41a828b 296 #define LL_RTC_CR_ALRAIE RTC_CR_ALRAIE
<> 156:95d6b41a828b 297 #define LL_RTC_TAFCR_TAMPIE RTC_TAFCR_TAMPIE
<> 156:95d6b41a828b 298 /**
<> 156:95d6b41a828b 299 * @}
<> 156:95d6b41a828b 300 */
<> 156:95d6b41a828b 301
<> 156:95d6b41a828b 302 /** @defgroup RTC_LL_EC_WEEKDAY WEEK DAY
<> 156:95d6b41a828b 303 * @{
<> 156:95d6b41a828b 304 */
<> 156:95d6b41a828b 305 #define LL_RTC_WEEKDAY_MONDAY ((uint8_t)0x01U) /*!< Monday */
<> 156:95d6b41a828b 306 #define LL_RTC_WEEKDAY_TUESDAY ((uint8_t)0x02U) /*!< Tuesday */
<> 156:95d6b41a828b 307 #define LL_RTC_WEEKDAY_WEDNESDAY ((uint8_t)0x03U) /*!< Wednesday */
<> 156:95d6b41a828b 308 #define LL_RTC_WEEKDAY_THURSDAY ((uint8_t)0x04U) /*!< Thrusday */
<> 156:95d6b41a828b 309 #define LL_RTC_WEEKDAY_FRIDAY ((uint8_t)0x05U) /*!< Friday */
<> 156:95d6b41a828b 310 #define LL_RTC_WEEKDAY_SATURDAY ((uint8_t)0x06U) /*!< Saturday */
<> 156:95d6b41a828b 311 #define LL_RTC_WEEKDAY_SUNDAY ((uint8_t)0x07U) /*!< Sunday */
<> 156:95d6b41a828b 312 /**
<> 156:95d6b41a828b 313 * @}
<> 156:95d6b41a828b 314 */
<> 156:95d6b41a828b 315
<> 156:95d6b41a828b 316 /** @defgroup RTC_LL_EC_MONTH MONTH
<> 156:95d6b41a828b 317 * @{
<> 156:95d6b41a828b 318 */
<> 156:95d6b41a828b 319 #define LL_RTC_MONTH_JANUARY ((uint8_t)0x01U) /*!< January */
<> 156:95d6b41a828b 320 #define LL_RTC_MONTH_FEBRUARY ((uint8_t)0x02U) /*!< February */
<> 156:95d6b41a828b 321 #define LL_RTC_MONTH_MARCH ((uint8_t)0x03U) /*!< March */
<> 156:95d6b41a828b 322 #define LL_RTC_MONTH_APRIL ((uint8_t)0x04U) /*!< April */
<> 156:95d6b41a828b 323 #define LL_RTC_MONTH_MAY ((uint8_t)0x05U) /*!< May */
<> 156:95d6b41a828b 324 #define LL_RTC_MONTH_JUNE ((uint8_t)0x06U) /*!< June */
<> 156:95d6b41a828b 325 #define LL_RTC_MONTH_JULY ((uint8_t)0x07U) /*!< July */
<> 156:95d6b41a828b 326 #define LL_RTC_MONTH_AUGUST ((uint8_t)0x08U) /*!< August */
<> 156:95d6b41a828b 327 #define LL_RTC_MONTH_SEPTEMBER ((uint8_t)0x09U) /*!< September */
<> 156:95d6b41a828b 328 #define LL_RTC_MONTH_OCTOBER ((uint8_t)0x10U) /*!< October */
<> 156:95d6b41a828b 329 #define LL_RTC_MONTH_NOVEMBER ((uint8_t)0x11U) /*!< November */
<> 156:95d6b41a828b 330 #define LL_RTC_MONTH_DECEMBER ((uint8_t)0x12U) /*!< December */
<> 156:95d6b41a828b 331 /**
<> 156:95d6b41a828b 332 * @}
<> 156:95d6b41a828b 333 */
<> 156:95d6b41a828b 334
<> 156:95d6b41a828b 335 /** @defgroup RTC_LL_EC_HOURFORMAT HOUR FORMAT
<> 156:95d6b41a828b 336 * @{
<> 156:95d6b41a828b 337 */
<> 156:95d6b41a828b 338 #define LL_RTC_HOURFORMAT_24HOUR (uint32_t)0x00000000U /*!< 24 hour/day format */
<> 156:95d6b41a828b 339 #define LL_RTC_HOURFORMAT_AMPM RTC_CR_FMT /*!< AM/PM hour format */
<> 156:95d6b41a828b 340 /**
<> 156:95d6b41a828b 341 * @}
<> 156:95d6b41a828b 342 */
<> 156:95d6b41a828b 343
<> 156:95d6b41a828b 344 /** @defgroup RTC_LL_EC_ALARMOUT ALARM OUTPUT
<> 156:95d6b41a828b 345 * @{
<> 156:95d6b41a828b 346 */
<> 156:95d6b41a828b 347 #define LL_RTC_ALARMOUT_DISABLE ((uint32_t)0x00000000U) /*!< Output disabled */
<> 156:95d6b41a828b 348 #define LL_RTC_ALARMOUT_ALMA RTC_CR_OSEL_0 /*!< Alarm A output enabled */
<> 156:95d6b41a828b 349 #define LL_RTC_ALARMOUT_ALMB RTC_CR_OSEL_1 /*!< Alarm B output enabled */
<> 156:95d6b41a828b 350 #define LL_RTC_ALARMOUT_WAKEUP RTC_CR_OSEL /*!< Wakeup output enabled */
<> 156:95d6b41a828b 351 /**
<> 156:95d6b41a828b 352 * @}
<> 156:95d6b41a828b 353 */
<> 156:95d6b41a828b 354
<> 156:95d6b41a828b 355 /** @defgroup RTC_LL_EC_ALARM_OUTPUTTYPE ALARM OUTPUT TYPE
<> 156:95d6b41a828b 356 * @{
<> 156:95d6b41a828b 357 */
<> 156:95d6b41a828b 358 #define LL_RTC_ALARM_OUTPUTTYPE_OPENDRAIN (uint32_t)0x00000000U /*!< RTC_ALARM, when mapped on PC13, is open-drain output */
<> 156:95d6b41a828b 359 #define LL_RTC_ALARM_OUTPUTTYPE_PUSHPULL RTC_TAFCR_ALARMOUTTYPE /*!< RTC_ALARM, when mapped on PC13, is push-pull output */
<> 156:95d6b41a828b 360 /**
<> 156:95d6b41a828b 361 * @}
<> 156:95d6b41a828b 362 */
<> 156:95d6b41a828b 363
<> 156:95d6b41a828b 364 /** @defgroup RTC_LL_EC_PIN PIN
<> 156:95d6b41a828b 365 * @{
<> 156:95d6b41a828b 366 */
<> 156:95d6b41a828b 367 #define LL_RTC_PIN_PC13 RTC_TAFCR_PC13MODE /*!< PC13 is forced to push-pull output if all RTC alternate functions are disabled */
<> 156:95d6b41a828b 368 #define LL_RTC_PIN_PC14 RTC_TAFCR_PC14MODE /*!< PC14 is forced to push-pull output if LSE is disabled */
<> 156:95d6b41a828b 369 #define LL_RTC_PIN_PC15 RTC_TAFCR_PC15MODE /*!< PC15 is forced to push-pull output if LSE is disabled */
<> 156:95d6b41a828b 370 /**
<> 156:95d6b41a828b 371 * @}
<> 156:95d6b41a828b 372 */
<> 156:95d6b41a828b 373
<> 156:95d6b41a828b 374 /** @defgroup RTC_LL_EC_OUTPUTPOLARITY_PIN OUTPUT POLARITY PIN
<> 156:95d6b41a828b 375 * @{
<> 156:95d6b41a828b 376 */
<> 156:95d6b41a828b 377 #define LL_RTC_OUTPUTPOLARITY_PIN_HIGH (uint32_t)0x00000000U /*!< Pin is high when ALRAF/ALRBF/WUTF is asserted (depending on OSEL)*/
<> 156:95d6b41a828b 378 #define LL_RTC_OUTPUTPOLARITY_PIN_LOW RTC_CR_POL /*!< Pin is low when ALRAF/ALRBF/WUTF is asserted (depending on OSEL) */
<> 156:95d6b41a828b 379 /**
<> 156:95d6b41a828b 380 * @}
<> 156:95d6b41a828b 381 */
<> 156:95d6b41a828b 382
<> 156:95d6b41a828b 383 /** @defgroup RTC_LL_EC_TIME_FORMAT TIME FORMAT
<> 156:95d6b41a828b 384 * @{
<> 156:95d6b41a828b 385 */
<> 156:95d6b41a828b 386 #define LL_RTC_TIME_FORMAT_AM_OR_24 (uint32_t)0x00000000U /*!< AM or 24-hour format */
<> 156:95d6b41a828b 387 #define LL_RTC_TIME_FORMAT_PM RTC_TR_PM /*!< PM */
<> 156:95d6b41a828b 388 /**
<> 156:95d6b41a828b 389 * @}
<> 156:95d6b41a828b 390 */
<> 156:95d6b41a828b 391
<> 156:95d6b41a828b 392 /** @defgroup RTC_LL_EC_SHIFT_SECOND SHIFT SECOND
<> 156:95d6b41a828b 393 * @{
<> 156:95d6b41a828b 394 */
<> 156:95d6b41a828b 395 #define LL_RTC_SHIFT_SECOND_DELAY (uint32_t)0x00000000U /* Delay (seconds) = SUBFS / (PREDIV_S + 1) */
<> 156:95d6b41a828b 396 #define LL_RTC_SHIFT_SECOND_ADVANCE RTC_SHIFTR_ADD1S /* Advance (seconds) = (1 - (SUBFS / (PREDIV_S + 1))) */
<> 156:95d6b41a828b 397 /**
<> 156:95d6b41a828b 398 * @}
<> 156:95d6b41a828b 399 */
<> 156:95d6b41a828b 400
<> 156:95d6b41a828b 401 /** @defgroup RTC_LL_EC_ALMA_MASK ALARMA MASK
<> 156:95d6b41a828b 402 * @{
<> 156:95d6b41a828b 403 */
<> 156:95d6b41a828b 404 #define LL_RTC_ALMA_MASK_NONE ((uint32_t)0x00000000U) /*!< No masks applied on Alarm A*/
<> 156:95d6b41a828b 405 #define LL_RTC_ALMA_MASK_DATEWEEKDAY RTC_ALRMAR_MSK4 /*!< Date/day do not care in Alarm A comparison */
<> 156:95d6b41a828b 406 #define LL_RTC_ALMA_MASK_HOURS RTC_ALRMAR_MSK3 /*!< Hours do not care in Alarm A comparison */
<> 156:95d6b41a828b 407 #define LL_RTC_ALMA_MASK_MINUTES RTC_ALRMAR_MSK2 /*!< Minutes do not care in Alarm A comparison */
<> 156:95d6b41a828b 408 #define LL_RTC_ALMA_MASK_SECONDS RTC_ALRMAR_MSK1 /*!< Seconds do not care in Alarm A comparison */
<> 156:95d6b41a828b 409 #define LL_RTC_ALMA_MASK_ALL (RTC_ALRMAR_MSK4 | RTC_ALRMAR_MSK3 | RTC_ALRMAR_MSK2 | RTC_ALRMAR_MSK1) /*!< Masks all */
<> 156:95d6b41a828b 410 /**
<> 156:95d6b41a828b 411 * @}
<> 156:95d6b41a828b 412 */
<> 156:95d6b41a828b 413
<> 156:95d6b41a828b 414 /** @defgroup RTC_LL_EC_ALMA_TIME_FORMAT ALARMA TIME FORMAT
<> 156:95d6b41a828b 415 * @{
<> 156:95d6b41a828b 416 */
<> 156:95d6b41a828b 417 #define LL_RTC_ALMA_TIME_FORMAT_AM (uint32_t)0x00000000U /*!< AM or 24-hour format */
<> 156:95d6b41a828b 418 #define LL_RTC_ALMA_TIME_FORMAT_PM RTC_ALRMAR_PM /*!< PM */
<> 156:95d6b41a828b 419 /**
<> 156:95d6b41a828b 420 * @}
<> 156:95d6b41a828b 421 */
<> 156:95d6b41a828b 422
<> 156:95d6b41a828b 423 /** @defgroup RTC_LL_EC_TIMESTAMP_EDGE TIMESTAMP EDGE
<> 156:95d6b41a828b 424 * @{
<> 156:95d6b41a828b 425 */
<> 156:95d6b41a828b 426 #define LL_RTC_TIMESTAMP_EDGE_RISING (uint32_t)0x00000000U /*!< RTC_TS input rising edge generates a time-stamp event */
<> 156:95d6b41a828b 427 #define LL_RTC_TIMESTAMP_EDGE_FALLING RTC_CR_TSEDGE /*!< RTC_TS input falling edge generates a time-stamp even */
<> 156:95d6b41a828b 428 /**
<> 156:95d6b41a828b 429 * @}
<> 156:95d6b41a828b 430 */
<> 156:95d6b41a828b 431
<> 156:95d6b41a828b 432 /** @defgroup RTC_LL_EC_TS_TIME_FORMAT TIMESTAMP TIME FORMAT
<> 156:95d6b41a828b 433 * @{
<> 156:95d6b41a828b 434 */
<> 156:95d6b41a828b 435 #define LL_RTC_TS_TIME_FORMAT_AM (uint32_t)0x00000000U /*!< AM or 24-hour format */
<> 156:95d6b41a828b 436 #define LL_RTC_TS_TIME_FORMAT_PM RTC_TSTR_PM /*!< PM */
<> 156:95d6b41a828b 437 /**
<> 156:95d6b41a828b 438 * @}
<> 156:95d6b41a828b 439 */
<> 156:95d6b41a828b 440
<> 156:95d6b41a828b 441 /** @defgroup RTC_LL_EC_TAMPER TAMPER
<> 156:95d6b41a828b 442 * @{
<> 156:95d6b41a828b 443 */
<> 156:95d6b41a828b 444 #if defined(RTC_TAMPER1_SUPPORT)
<> 156:95d6b41a828b 445 #define LL_RTC_TAMPER_1 RTC_TAFCR_TAMP1E /*!< RTC_TAMP1 input detection */
<> 156:95d6b41a828b 446 #endif /* RTC_TAMPER1_SUPPORT */
<> 156:95d6b41a828b 447 #if defined(RTC_TAMPER2_SUPPORT)
<> 156:95d6b41a828b 448 #define LL_RTC_TAMPER_2 RTC_TAFCR_TAMP2E /*!< RTC_TAMP2 input detection */
<> 156:95d6b41a828b 449 #endif /* RTC_TAMPER2_SUPPORT */
<> 156:95d6b41a828b 450 #if defined(RTC_TAMPER3_SUPPORT)
<> 156:95d6b41a828b 451 #define LL_RTC_TAMPER_3 RTC_TAFCR_TAMP3E /*!< RTC_TAMP3 input detection */
<> 156:95d6b41a828b 452 #endif /* RTC_TAMPER3_SUPPORT */
<> 156:95d6b41a828b 453 /**
<> 156:95d6b41a828b 454 * @}
<> 156:95d6b41a828b 455 */
<> 156:95d6b41a828b 456
<> 156:95d6b41a828b 457 /** @defgroup RTC_LL_EC_TAMPER_MASK TAMPER MASK
<> 156:95d6b41a828b 458 * @{
<> 156:95d6b41a828b 459 */
<> 156:95d6b41a828b 460 #if defined(RTC_TAMPER1_SUPPORT)
<> 156:95d6b41a828b 461 #define LL_RTC_TAMPER_MASK_TAMPER1 RTC_TAFCR_TAMP1MF /*!< Tamper 1 event generates a trigger event. TAMP1F is masked and internally cleared by hardware.The backup registers are not erased */
<> 156:95d6b41a828b 462 #endif /* RTC_TAMPER1_SUPPORT */
<> 156:95d6b41a828b 463 #if defined(RTC_TAMPER2_SUPPORT)
<> 156:95d6b41a828b 464 #define LL_RTC_TAMPER_MASK_TAMPER2 RTC_TAFCR_TAMP2MF /*!< Tamper 2 event generates a trigger event. TAMP2F is masked and internally cleared by hardware. The backup registers are not erased. */
<> 156:95d6b41a828b 465 #endif /* RTC_TAMPER2_SUPPORT */
<> 156:95d6b41a828b 466 #if defined(RTC_TAMPER3_SUPPORT)
<> 156:95d6b41a828b 467 #define LL_RTC_TAMPER_MASK_TAMPER3 RTC_TAFCR_TAMP3MF /*!< Tamper 3 event generates a trigger event. TAMP3F is masked and internally cleared by hardware. The backup registers are not erased */
<> 156:95d6b41a828b 468 #endif /* RTC_TAMPER3_SUPPORT */
<> 156:95d6b41a828b 469 /**
<> 156:95d6b41a828b 470 * @}
<> 156:95d6b41a828b 471 */
<> 156:95d6b41a828b 472
<> 156:95d6b41a828b 473 /** @defgroup RTC_LL_EC_TAMPER_NOERASE TAMPER NO ERASE
<> 156:95d6b41a828b 474 * @{
<> 156:95d6b41a828b 475 */
<> 156:95d6b41a828b 476 #if defined(RTC_TAMPER1_SUPPORT)
<> 156:95d6b41a828b 477 #define LL_RTC_TAMPER_NOERASE_TAMPER1 RTC_TAFCR_TAMP1NOERASE /*!< Tamper 1 event does not erase the backup registers. */
<> 156:95d6b41a828b 478 #endif /* RTC_TAMPER1_SUPPORT */
<> 156:95d6b41a828b 479 #if defined(RTC_TAMPER2_SUPPORT)
<> 156:95d6b41a828b 480 #define LL_RTC_TAMPER_NOERASE_TAMPER2 RTC_TAFCR_TAMP2NOERASE /*!< Tamper 2 event does not erase the backup registers. */
<> 156:95d6b41a828b 481 #endif /* RTC_TAMPER2_SUPPORT */
<> 156:95d6b41a828b 482 #if defined(RTC_TAMPER3_SUPPORT)
<> 156:95d6b41a828b 483 #define LL_RTC_TAMPER_NOERASE_TAMPER3 RTC_TAFCR_TAMP3NOERASE /*!< Tamper 3 event does not erase the backup registers. */
<> 156:95d6b41a828b 484 #endif /* RTC_TAMPER3_SUPPORT */
<> 156:95d6b41a828b 485 /**
<> 156:95d6b41a828b 486 * @}
<> 156:95d6b41a828b 487 */
<> 156:95d6b41a828b 488
<> 156:95d6b41a828b 489 #if defined(RTC_TAFCR_TAMPPRCH)
<> 156:95d6b41a828b 490 /** @defgroup RTC_LL_EC_TAMPER_DURATION TAMPER DURATION
<> 156:95d6b41a828b 491 * @{
<> 156:95d6b41a828b 492 */
<> 156:95d6b41a828b 493 #define LL_RTC_TAMPER_DURATION_1RTCCLK ((uint32_t)0x00000000U) /*!< Tamper pins are pre-charged before sampling during 1 RTCCLK cycle */
<> 156:95d6b41a828b 494 #define LL_RTC_TAMPER_DURATION_2RTCCLK RTC_TAFCR_TAMPPRCH_0 /*!< Tamper pins are pre-charged before sampling during 2 RTCCLK cycles */
<> 156:95d6b41a828b 495 #define LL_RTC_TAMPER_DURATION_4RTCCLK RTC_TAFCR_TAMPPRCH_1 /*!< Tamper pins are pre-charged before sampling during 4 RTCCLK cycles */
<> 156:95d6b41a828b 496 #define LL_RTC_TAMPER_DURATION_8RTCCLK RTC_TAFCR_TAMPPRCH /*!< Tamper pins are pre-charged before sampling during 8 RTCCLK cycles */
<> 156:95d6b41a828b 497 /**
<> 156:95d6b41a828b 498 * @}
<> 156:95d6b41a828b 499 */
<> 156:95d6b41a828b 500 #endif /* RTC_TAFCR_TAMPPRCH */
<> 156:95d6b41a828b 501
<> 156:95d6b41a828b 502 #if defined(RTC_TAFCR_TAMPFLT)
<> 156:95d6b41a828b 503 /** @defgroup RTC_LL_EC_TAMPER_FILTER TAMPER FILTER
<> 156:95d6b41a828b 504 * @{
<> 156:95d6b41a828b 505 */
<> 156:95d6b41a828b 506 #define LL_RTC_TAMPER_FILTER_DISABLE ((uint32_t)0x00000000U) /*!< Tamper filter is disabled */
<> 156:95d6b41a828b 507 #define LL_RTC_TAMPER_FILTER_2SAMPLE RTC_TAFCR_TAMPFLT_0 /*!< Tamper is activated after 2 consecutive samples at the active level */
<> 156:95d6b41a828b 508 #define LL_RTC_TAMPER_FILTER_4SAMPLE RTC_TAFCR_TAMPFLT_1 /*!< Tamper is activated after 4 consecutive samples at the active level */
<> 156:95d6b41a828b 509 #define LL_RTC_TAMPER_FILTER_8SAMPLE RTC_TAFCR_TAMPFLT /*!< Tamper is activated after 8 consecutive samples at the active level. */
<> 156:95d6b41a828b 510 /**
<> 156:95d6b41a828b 511 * @}
<> 156:95d6b41a828b 512 */
<> 156:95d6b41a828b 513 #endif /* RTC_TAFCR_TAMPFLT */
<> 156:95d6b41a828b 514
<> 156:95d6b41a828b 515 #if defined(RTC_TAFCR_TAMPFREQ)
<> 156:95d6b41a828b 516 /** @defgroup RTC_LL_EC_TAMPER_SAMPLFREQDIV TAMPER SAMPLING FREQUENCY DIVIDER
<> 156:95d6b41a828b 517 * @{
<> 156:95d6b41a828b 518 */
<> 156:95d6b41a828b 519 #define LL_RTC_TAMPER_SAMPLFREQDIV_32768 ((uint32_t)0x00000000U) /*!< Each of the tamper inputs are sampled with a frequency = RTCCLK / 32768 */
<> 156:95d6b41a828b 520 #define LL_RTC_TAMPER_SAMPLFREQDIV_16384 RTC_TAFCR_TAMPFREQ_0 /*!< Each of the tamper inputs are sampled with a frequency = RTCCLK / 16384 */
<> 156:95d6b41a828b 521 #define LL_RTC_TAMPER_SAMPLFREQDIV_8192 RTC_TAFCR_TAMPFREQ_1 /*!< Each of the tamper inputs are sampled with a frequency = RTCCLK / 8192 */
<> 156:95d6b41a828b 522 #define LL_RTC_TAMPER_SAMPLFREQDIV_4096 (RTC_TAFCR_TAMPFREQ_1 | RTC_TAFCR_TAMPFREQ_0) /*!< Each of the tamper inputs are sampled with a frequency = RTCCLK / 4096 */
<> 156:95d6b41a828b 523 #define LL_RTC_TAMPER_SAMPLFREQDIV_2048 RTC_TAFCR_TAMPFREQ_2 /*!< Each of the tamper inputs are sampled with a frequency = RTCCLK / 2048 */
<> 156:95d6b41a828b 524 #define LL_RTC_TAMPER_SAMPLFREQDIV_1024 (RTC_TAFCR_TAMPFREQ_2 | RTC_TAFCR_TAMPFREQ_0) /*!< Each of the tamper inputs are sampled with a frequency = RTCCLK / 1024 */
<> 156:95d6b41a828b 525 #define LL_RTC_TAMPER_SAMPLFREQDIV_512 (RTC_TAFCR_TAMPFREQ_2 | RTC_TAFCR_TAMPFREQ_1) /*!< Each of the tamper inputs are sampled with a frequency = RTCCLK / 512 */
<> 156:95d6b41a828b 526 #define LL_RTC_TAMPER_SAMPLFREQDIV_256 RTC_TAFCR_TAMPFREQ /*!< Each of the tamper inputs are sampled with a frequency = RTCCLK / 256 */
<> 156:95d6b41a828b 527 /**
<> 156:95d6b41a828b 528 * @}
<> 156:95d6b41a828b 529 */
<> 156:95d6b41a828b 530 #endif /* RTC_TAFCR_TAMPFREQ */
<> 156:95d6b41a828b 531
<> 156:95d6b41a828b 532 /** @defgroup RTC_LL_EC_TAMPER_ACTIVELEVEL TAMPER ACTIVE LEVEL
<> 156:95d6b41a828b 533 * @{
<> 156:95d6b41a828b 534 */
<> 156:95d6b41a828b 535 #if defined(RTC_TAMPER1_SUPPORT)
<> 156:95d6b41a828b 536 #define LL_RTC_TAMPER_ACTIVELEVEL_TAMP1 RTC_TAFCR_TAMP1TRG /*!< RTC_TAMP1 input falling edge (if TAMPFLT = 00) or staying high (if TAMPFLT != 00) triggers a tamper detection event*/
<> 156:95d6b41a828b 537 #endif /* RTC_TAMPER1_SUPPORT */
<> 156:95d6b41a828b 538 #if defined(RTC_TAMPER2_SUPPORT)
<> 156:95d6b41a828b 539 #define LL_RTC_TAMPER_ACTIVELEVEL_TAMP2 RTC_TAFCR_TAMP2TRG /*!< RTC_TAMP2 input falling edge (if TAMPFLT = 00) or staying high (if TAMPFLT != 00) triggers a tamper detection event*/
<> 156:95d6b41a828b 540 #endif /* RTC_TAMPER2_SUPPORT */
<> 156:95d6b41a828b 541 #if defined(RTC_TAMPER3_SUPPORT)
<> 156:95d6b41a828b 542 #define LL_RTC_TAMPER_ACTIVELEVEL_TAMP3 RTC_TAFCR_TAMP3TRG /*!< RTC_TAMP3 input falling edge (if TAMPFLT = 00) or staying high (if TAMPFLT != 00) triggers a tamper detection event*/
<> 156:95d6b41a828b 543 #endif /* RTC_TAMPER3_SUPPORT */
<> 156:95d6b41a828b 544 /**
<> 156:95d6b41a828b 545 * @}
<> 156:95d6b41a828b 546 */
<> 156:95d6b41a828b 547
<> 156:95d6b41a828b 548 /** @defgroup RTC_LL_EC_WAKEUPCLOCK_DIV WAKEUP CLOCK DIV
<> 156:95d6b41a828b 549 * @{
<> 156:95d6b41a828b 550 */
<> 156:95d6b41a828b 551 #define LL_RTC_WAKEUPCLOCK_DIV_16 ((uint32_t)0x00000000U) /*!< RTC/16 clock is selected */
<> 156:95d6b41a828b 552 #define LL_RTC_WAKEUPCLOCK_DIV_8 (RTC_CR_WUCKSEL_0) /*!< RTC/8 clock is selected */
<> 156:95d6b41a828b 553 #define LL_RTC_WAKEUPCLOCK_DIV_4 (RTC_CR_WUCKSEL_1) /*!< RTC/4 clock is selected */
<> 156:95d6b41a828b 554 #define LL_RTC_WAKEUPCLOCK_DIV_2 (RTC_CR_WUCKSEL_1 | RTC_CR_WUCKSEL_0) /*!< RTC/2 clock is selected */
<> 156:95d6b41a828b 555 #define LL_RTC_WAKEUPCLOCK_CKSPRE (RTC_CR_WUCKSEL_2) /*!< ck_spre (usually 1 Hz) clock is selected */
<> 156:95d6b41a828b 556 #define LL_RTC_WAKEUPCLOCK_CKSPRE_WUT (RTC_CR_WUCKSEL_2 | RTC_CR_WUCKSEL_1) /*!< ck_spre (usually 1 Hz) clock is selected and 2exp16 is added to the WUT counter value*/
<> 156:95d6b41a828b 557 /**
<> 156:95d6b41a828b 558 * @}
<> 156:95d6b41a828b 559 */
<> 156:95d6b41a828b 560
<> 156:95d6b41a828b 561 #if defined(RTC_BACKUP_SUPPORT)
<> 156:95d6b41a828b 562 /** @defgroup RTC_LL_EC_BKP BACKUP
<> 156:95d6b41a828b 563 * @{
<> 156:95d6b41a828b 564 */
<> 156:95d6b41a828b 565 #define LL_RTC_BKP_DR0 ((uint32_t)0x00000000U)
<> 156:95d6b41a828b 566 #define LL_RTC_BKP_DR1 ((uint32_t)0x00000001U)
<> 156:95d6b41a828b 567 #define LL_RTC_BKP_DR2 ((uint32_t)0x00000002U)
<> 156:95d6b41a828b 568 #define LL_RTC_BKP_DR3 ((uint32_t)0x00000003U)
<> 156:95d6b41a828b 569 #define LL_RTC_BKP_DR4 ((uint32_t)0x00000004U)
<> 156:95d6b41a828b 570 /**
<> 156:95d6b41a828b 571 * @}
<> 156:95d6b41a828b 572 */
<> 156:95d6b41a828b 573 #endif /* RTC_BACKUP_SUPPORT */
<> 156:95d6b41a828b 574
<> 156:95d6b41a828b 575 /** @defgroup RTC_LL_EC_CALIB_OUTPUT Calibration output
<> 156:95d6b41a828b 576 * @{
<> 156:95d6b41a828b 577 */
<> 156:95d6b41a828b 578 #define LL_RTC_CALIB_OUTPUT_NONE (uint32_t)0x00000000U /*!< Calibration output disabled */
<> 156:95d6b41a828b 579 #define LL_RTC_CALIB_OUTPUT_1HZ (RTC_CR_COE | RTC_CR_COSEL) /*!< Calibration output is 512 Hz */
<> 156:95d6b41a828b 580 #define LL_RTC_CALIB_OUTPUT_512HZ (RTC_CR_COE) /*!< Calibration output is 1 Hz */
<> 156:95d6b41a828b 581 /**
<> 156:95d6b41a828b 582 * @}
<> 156:95d6b41a828b 583 */
<> 156:95d6b41a828b 584
<> 156:95d6b41a828b 585 /** @defgroup RTC_LL_EC_CALIB_INSERTPULSE Calibration pulse insertion
<> 156:95d6b41a828b 586 * @{
<> 156:95d6b41a828b 587 */
<> 156:95d6b41a828b 588 #define LL_RTC_CALIB_INSERTPULSE_NONE (uint32_t)0x00000000U /*!< No RTCCLK pulses are added */
<> 156:95d6b41a828b 589 #define LL_RTC_CALIB_INSERTPULSE_SET RTC_CALR_CALP /*!< One RTCCLK pulse is effectively inserted every 2exp11 pulses (frequency increased by 488.5 ppm) */
<> 156:95d6b41a828b 590 /**
<> 156:95d6b41a828b 591 * @}
<> 156:95d6b41a828b 592 */
<> 156:95d6b41a828b 593
<> 156:95d6b41a828b 594 /** @defgroup RTC_LL_EC_CALIB_PERIOD Calibration period
<> 156:95d6b41a828b 595 * @{
<> 156:95d6b41a828b 596 */
<> 156:95d6b41a828b 597 #define LL_RTC_CALIB_PERIOD_32SEC (uint32_t)0x00000000U /*!< Use a 32-second calibration cycle period */
<> 156:95d6b41a828b 598 #define LL_RTC_CALIB_PERIOD_16SEC RTC_CALR_CALW16 /*!< Use a 16-second calibration cycle period */
<> 156:95d6b41a828b 599 #define LL_RTC_CALIB_PERIOD_8SEC RTC_CALR_CALW8 /*!< Use a 8-second calibration cycle period */
<> 156:95d6b41a828b 600 /**
<> 156:95d6b41a828b 601 * @}
<> 156:95d6b41a828b 602 */
<> 156:95d6b41a828b 603
<> 156:95d6b41a828b 604 /**
<> 156:95d6b41a828b 605 * @}
<> 156:95d6b41a828b 606 */
<> 156:95d6b41a828b 607
<> 156:95d6b41a828b 608 /* Exported macro ------------------------------------------------------------*/
<> 156:95d6b41a828b 609 /** @defgroup RTC_LL_Exported_Macros RTC Exported Macros
<> 156:95d6b41a828b 610 * @{
<> 156:95d6b41a828b 611 */
<> 156:95d6b41a828b 612
<> 156:95d6b41a828b 613 /** @defgroup RTC_LL_EM_WRITE_READ Common Write and read registers Macros
<> 156:95d6b41a828b 614 * @{
<> 156:95d6b41a828b 615 */
<> 156:95d6b41a828b 616
<> 156:95d6b41a828b 617 /**
<> 156:95d6b41a828b 618 * @brief Write a value in RTC register
<> 156:95d6b41a828b 619 * @param __INSTANCE__ RTC Instance
<> 156:95d6b41a828b 620 * @param __REG__ Register to be written
<> 156:95d6b41a828b 621 * @param __VALUE__ Value to be written in the register
<> 156:95d6b41a828b 622 * @retval None
<> 156:95d6b41a828b 623 */
<> 156:95d6b41a828b 624 #define LL_RTC_WriteReg(__INSTANCE__, __REG__, __VALUE__) WRITE_REG(__INSTANCE__->__REG__, (__VALUE__))
<> 156:95d6b41a828b 625
<> 156:95d6b41a828b 626 /**
<> 156:95d6b41a828b 627 * @brief Read a value in RTC register
<> 156:95d6b41a828b 628 * @param __INSTANCE__ RTC Instance
<> 156:95d6b41a828b 629 * @param __REG__ Register to be read
<> 156:95d6b41a828b 630 * @retval Register value
<> 156:95d6b41a828b 631 */
<> 156:95d6b41a828b 632 #define LL_RTC_ReadReg(__INSTANCE__, __REG__) READ_REG(__INSTANCE__->__REG__)
<> 156:95d6b41a828b 633 /**
<> 156:95d6b41a828b 634 * @}
<> 156:95d6b41a828b 635 */
<> 156:95d6b41a828b 636
<> 156:95d6b41a828b 637 /** @defgroup RTC_LL_EM_Convert Convert helper Macros
<> 156:95d6b41a828b 638 * @{
<> 156:95d6b41a828b 639 */
<> 156:95d6b41a828b 640
<> 156:95d6b41a828b 641 /**
<> 156:95d6b41a828b 642 * @brief Helper macro to convert a value from 2 digit decimal format to BCD format
<> 156:95d6b41a828b 643 * @param __VALUE__ Byte to be converted
<> 156:95d6b41a828b 644 * @retval Converted byte
<> 156:95d6b41a828b 645 */
<> 156:95d6b41a828b 646 #define __LL_RTC_CONVERT_BIN2BCD(__VALUE__) (uint8_t)((((__VALUE__) / 10U) << 4U) | ((__VALUE__) % 10U))
<> 156:95d6b41a828b 647
<> 156:95d6b41a828b 648 /**
<> 156:95d6b41a828b 649 * @brief Helper macro to convert a value from BCD format to 2 digit decimal format
<> 156:95d6b41a828b 650 * @param __VALUE__ BCD value to be converted
<> 156:95d6b41a828b 651 * @retval Converted byte
<> 156:95d6b41a828b 652 */
<> 156:95d6b41a828b 653 #define __LL_RTC_CONVERT_BCD2BIN(__VALUE__) (uint8_t)(((uint8_t)((__VALUE__) & (uint8_t)0xF0U) >> (uint8_t)0x4U) * 10U + ((__VALUE__) & (uint8_t)0x0FU))
<> 156:95d6b41a828b 654
<> 156:95d6b41a828b 655 /**
<> 156:95d6b41a828b 656 * @}
<> 156:95d6b41a828b 657 */
<> 156:95d6b41a828b 658
<> 156:95d6b41a828b 659 /** @defgroup RTC_LL_EM_Date Date helper Macros
<> 156:95d6b41a828b 660 * @{
<> 156:95d6b41a828b 661 */
<> 156:95d6b41a828b 662
<> 156:95d6b41a828b 663 /**
<> 156:95d6b41a828b 664 * @brief Helper macro to retrieve weekday.
<> 156:95d6b41a828b 665 * @param __RTC_DATE__ Date returned by @ref LL_RTC_DATE_Get function.
<> 156:95d6b41a828b 666 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 667 * @arg @ref LL_RTC_WEEKDAY_MONDAY
<> 156:95d6b41a828b 668 * @arg @ref LL_RTC_WEEKDAY_TUESDAY
<> 156:95d6b41a828b 669 * @arg @ref LL_RTC_WEEKDAY_WEDNESDAY
<> 156:95d6b41a828b 670 * @arg @ref LL_RTC_WEEKDAY_THURSDAY
<> 156:95d6b41a828b 671 * @arg @ref LL_RTC_WEEKDAY_FRIDAY
<> 156:95d6b41a828b 672 * @arg @ref LL_RTC_WEEKDAY_SATURDAY
<> 156:95d6b41a828b 673 * @arg @ref LL_RTC_WEEKDAY_SUNDAY
<> 156:95d6b41a828b 674 */
<> 156:95d6b41a828b 675 #define __LL_RTC_GET_WEEKDAY(__RTC_DATE__) (((__RTC_DATE__) >> RTC_OFFSET_WEEKDAY) & 0x000000FFU)
<> 156:95d6b41a828b 676
<> 156:95d6b41a828b 677 /**
<> 156:95d6b41a828b 678 * @brief Helper macro to retrieve Year in BCD format
<> 156:95d6b41a828b 679 * @param __RTC_DATE__ Value returned by @ref LL_RTC_DATE_Get
<> 156:95d6b41a828b 680 * @retval Year in BCD format (0x00 . . . 0x99)
<> 156:95d6b41a828b 681 */
<> 156:95d6b41a828b 682 #define __LL_RTC_GET_YEAR(__RTC_DATE__) ((__RTC_DATE__) & 0x000000FFU)
<> 156:95d6b41a828b 683
<> 156:95d6b41a828b 684 /**
<> 156:95d6b41a828b 685 * @brief Helper macro to retrieve Month in BCD format
<> 156:95d6b41a828b 686 * @param __RTC_DATE__ Value returned by @ref LL_RTC_DATE_Get
<> 156:95d6b41a828b 687 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 688 * @arg @ref LL_RTC_MONTH_JANUARY
<> 156:95d6b41a828b 689 * @arg @ref LL_RTC_MONTH_FEBRUARY
<> 156:95d6b41a828b 690 * @arg @ref LL_RTC_MONTH_MARCH
<> 156:95d6b41a828b 691 * @arg @ref LL_RTC_MONTH_APRIL
<> 156:95d6b41a828b 692 * @arg @ref LL_RTC_MONTH_MAY
<> 156:95d6b41a828b 693 * @arg @ref LL_RTC_MONTH_JUNE
<> 156:95d6b41a828b 694 * @arg @ref LL_RTC_MONTH_JULY
<> 156:95d6b41a828b 695 * @arg @ref LL_RTC_MONTH_AUGUST
<> 156:95d6b41a828b 696 * @arg @ref LL_RTC_MONTH_SEPTEMBER
<> 156:95d6b41a828b 697 * @arg @ref LL_RTC_MONTH_OCTOBER
<> 156:95d6b41a828b 698 * @arg @ref LL_RTC_MONTH_NOVEMBER
<> 156:95d6b41a828b 699 * @arg @ref LL_RTC_MONTH_DECEMBER
<> 156:95d6b41a828b 700 */
<> 156:95d6b41a828b 701 #define __LL_RTC_GET_MONTH(__RTC_DATE__) (((__RTC_DATE__) >>RTC_OFFSET_MONTH) & 0x000000FFU)
<> 156:95d6b41a828b 702
<> 156:95d6b41a828b 703 /**
<> 156:95d6b41a828b 704 * @brief Helper macro to retrieve Day in BCD format
<> 156:95d6b41a828b 705 * @param __RTC_DATE__ Value returned by @ref LL_RTC_DATE_Get
<> 156:95d6b41a828b 706 * @retval Day in BCD format (0x01 . . . 0x31)
<> 156:95d6b41a828b 707 */
<> 156:95d6b41a828b 708 #define __LL_RTC_GET_DAY(__RTC_DATE__) (((__RTC_DATE__) >>RTC_OFFSET_DAY) & 0x000000FFU)
<> 156:95d6b41a828b 709
<> 156:95d6b41a828b 710 /**
<> 156:95d6b41a828b 711 * @}
<> 156:95d6b41a828b 712 */
<> 156:95d6b41a828b 713
<> 156:95d6b41a828b 714 /** @defgroup RTC_LL_EM_Time Time helper Macros
<> 156:95d6b41a828b 715 * @{
<> 156:95d6b41a828b 716 */
<> 156:95d6b41a828b 717
<> 156:95d6b41a828b 718 /**
<> 156:95d6b41a828b 719 * @brief Helper macro to retrieve hour in BCD format
<> 156:95d6b41a828b 720 * @param __RTC_TIME__ RTC time returned by @ref LL_RTC_TIME_Get function
<> 156:95d6b41a828b 721 * @retval Hours in BCD format (0x01. . .0x12 or between Min_Data=0x00 and Max_Data=0x23)
<> 156:95d6b41a828b 722 */
<> 156:95d6b41a828b 723 #define __LL_RTC_GET_HOUR(__RTC_TIME__) (((__RTC_TIME__) >> RTC_OFFSET_HOUR) & 0x000000FFU)
<> 156:95d6b41a828b 724
<> 156:95d6b41a828b 725 /**
<> 156:95d6b41a828b 726 * @brief Helper macro to retrieve minute in BCD format
<> 156:95d6b41a828b 727 * @param __RTC_TIME__ RTC time returned by @ref LL_RTC_TIME_Get function
<> 156:95d6b41a828b 728 * @retval Minutes in BCD format (0x00. . .0x59)
<> 156:95d6b41a828b 729 */
<> 156:95d6b41a828b 730 #define __LL_RTC_GET_MINUTE(__RTC_TIME__) (((__RTC_TIME__) >> RTC_OFFSET_MINUTE) & 0x000000FFU)
<> 156:95d6b41a828b 731
<> 156:95d6b41a828b 732 /**
<> 156:95d6b41a828b 733 * @brief Helper macro to retrieve second in BCD format
<> 156:95d6b41a828b 734 * @param __RTC_TIME__ RTC time returned by @ref LL_RTC_TIME_Get function
<> 156:95d6b41a828b 735 * @retval Seconds in format (0x00. . .0x59)
<> 156:95d6b41a828b 736 */
<> 156:95d6b41a828b 737 #define __LL_RTC_GET_SECOND(__RTC_TIME__) ((__RTC_TIME__) & 0x000000FFU)
<> 156:95d6b41a828b 738
<> 156:95d6b41a828b 739 /**
<> 156:95d6b41a828b 740 * @}
<> 156:95d6b41a828b 741 */
<> 156:95d6b41a828b 742
<> 156:95d6b41a828b 743 /**
<> 156:95d6b41a828b 744 * @}
<> 156:95d6b41a828b 745 */
<> 156:95d6b41a828b 746
<> 156:95d6b41a828b 747 /* Exported functions --------------------------------------------------------*/
<> 156:95d6b41a828b 748 /** @defgroup RTC_LL_Exported_Functions RTC Exported Functions
<> 156:95d6b41a828b 749 * @{
<> 156:95d6b41a828b 750 */
<> 156:95d6b41a828b 751
<> 156:95d6b41a828b 752 /** @defgroup RTC_LL_EF_Configuration Configuration
<> 156:95d6b41a828b 753 * @{
<> 156:95d6b41a828b 754 */
<> 156:95d6b41a828b 755
<> 156:95d6b41a828b 756 /**
<> 156:95d6b41a828b 757 * @brief Set Hours format (24 hour/day or AM/PM hour format)
<> 156:95d6b41a828b 758 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 759 * @note It can be written in initialization mode only (@ref LL_RTC_EnableInitMode function)
<> 156:95d6b41a828b 760 * @rmtoll CR FMT LL_RTC_SetHourFormat
<> 156:95d6b41a828b 761 * @param RTCx RTC Instance
<> 156:95d6b41a828b 762 * @param HourFormat This parameter can be one of the following values:
<> 156:95d6b41a828b 763 * @arg @ref LL_RTC_HOURFORMAT_24HOUR
<> 156:95d6b41a828b 764 * @arg @ref LL_RTC_HOURFORMAT_AMPM
<> 156:95d6b41a828b 765 * @retval None
<> 156:95d6b41a828b 766 */
<> 156:95d6b41a828b 767 __STATIC_INLINE void LL_RTC_SetHourFormat(RTC_TypeDef *RTCx, uint32_t HourFormat)
<> 156:95d6b41a828b 768 {
<> 156:95d6b41a828b 769 MODIFY_REG(RTCx->CR, RTC_CR_FMT, HourFormat);
<> 156:95d6b41a828b 770 }
<> 156:95d6b41a828b 771
<> 156:95d6b41a828b 772 /**
<> 156:95d6b41a828b 773 * @brief Get Hours format (24 hour/day or AM/PM hour format)
<> 156:95d6b41a828b 774 * @rmtoll CR FMT LL_RTC_GetHourFormat
<> 156:95d6b41a828b 775 * @param RTCx RTC Instance
<> 156:95d6b41a828b 776 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 777 * @arg @ref LL_RTC_HOURFORMAT_24HOUR
<> 156:95d6b41a828b 778 * @arg @ref LL_RTC_HOURFORMAT_AMPM
<> 156:95d6b41a828b 779 */
<> 156:95d6b41a828b 780 __STATIC_INLINE uint32_t LL_RTC_GetHourFormat(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 781 {
<> 156:95d6b41a828b 782 return (uint32_t)(READ_BIT(RTCx->CR, RTC_CR_FMT));
<> 156:95d6b41a828b 783 }
<> 156:95d6b41a828b 784
<> 156:95d6b41a828b 785 /**
<> 156:95d6b41a828b 786 * @brief Select the flag to be routed to RTC_ALARM output
<> 156:95d6b41a828b 787 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 788 * @rmtoll CR OSEL LL_RTC_SetAlarmOutEvent
<> 156:95d6b41a828b 789 * @param RTCx RTC Instance
<> 156:95d6b41a828b 790 * @param AlarmOutput This parameter can be one of the following values:
<> 156:95d6b41a828b 791 * @arg @ref LL_RTC_ALARMOUT_DISABLE
<> 156:95d6b41a828b 792 * @arg @ref LL_RTC_ALARMOUT_ALMA
<> 156:95d6b41a828b 793 * @arg @ref LL_RTC_ALARMOUT_ALMB
<> 156:95d6b41a828b 794 * @arg @ref LL_RTC_ALARMOUT_WAKEUP
<> 156:95d6b41a828b 795 * @retval None
<> 156:95d6b41a828b 796 */
<> 156:95d6b41a828b 797 __STATIC_INLINE void LL_RTC_SetAlarmOutEvent(RTC_TypeDef *RTCx, uint32_t AlarmOutput)
<> 156:95d6b41a828b 798 {
<> 156:95d6b41a828b 799 MODIFY_REG(RTCx->CR, RTC_CR_OSEL, AlarmOutput);
<> 156:95d6b41a828b 800 }
<> 156:95d6b41a828b 801
<> 156:95d6b41a828b 802 /**
<> 156:95d6b41a828b 803 * @brief Get the flag to be routed to RTC_ALARM output
<> 156:95d6b41a828b 804 * @rmtoll CR OSEL LL_RTC_GetAlarmOutEvent
<> 156:95d6b41a828b 805 * @param RTCx RTC Instance
<> 156:95d6b41a828b 806 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 807 * @arg @ref LL_RTC_ALARMOUT_DISABLE
<> 156:95d6b41a828b 808 * @arg @ref LL_RTC_ALARMOUT_ALMA
<> 156:95d6b41a828b 809 * @arg @ref LL_RTC_ALARMOUT_ALMB
<> 156:95d6b41a828b 810 * @arg @ref LL_RTC_ALARMOUT_WAKEUP
<> 156:95d6b41a828b 811 */
<> 156:95d6b41a828b 812 __STATIC_INLINE uint32_t LL_RTC_GetAlarmOutEvent(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 813 {
<> 156:95d6b41a828b 814 return (uint32_t)(READ_BIT(RTCx->CR, RTC_CR_OSEL));
<> 156:95d6b41a828b 815 }
<> 156:95d6b41a828b 816
<> 156:95d6b41a828b 817 /**
<> 156:95d6b41a828b 818 * @brief Set RTC_ALARM output type (ALARM in push-pull or open-drain output)
<> 156:95d6b41a828b 819 * @note Used only when RTC_ALARM is mapped on PC13
<> 156:95d6b41a828b 820 * @note If all RTC alternate functions are disabled and PC13MODE = 1, PC13VALUE configures the
<> 156:95d6b41a828b 821 * PC13 output data
<> 156:95d6b41a828b 822 * @rmtoll TAFCR ALARMOUTTYPE LL_RTC_SetAlarmOutputType
<> 156:95d6b41a828b 823 * @param RTCx RTC Instance
<> 156:95d6b41a828b 824 * @param Output This parameter can be one of the following values:
<> 156:95d6b41a828b 825 * @arg @ref LL_RTC_ALARM_OUTPUTTYPE_OPENDRAIN
<> 156:95d6b41a828b 826 * @arg @ref LL_RTC_ALARM_OUTPUTTYPE_PUSHPULL
<> 156:95d6b41a828b 827 * @retval None
<> 156:95d6b41a828b 828 */
<> 156:95d6b41a828b 829 __STATIC_INLINE void LL_RTC_SetAlarmOutputType(RTC_TypeDef *RTCx, uint32_t Output)
<> 156:95d6b41a828b 830 {
<> 156:95d6b41a828b 831 MODIFY_REG(RTCx->TAFCR, RTC_TAFCR_ALARMOUTTYPE, Output);
<> 156:95d6b41a828b 832 }
<> 156:95d6b41a828b 833
<> 156:95d6b41a828b 834 /**
<> 156:95d6b41a828b 835 * @brief Get RTC_ALARM output type (ALARM in push-pull or open-drain output)
<> 156:95d6b41a828b 836 * @note used only when RTC_ALARM is mapped on PC13
<> 156:95d6b41a828b 837 * @note If all RTC alternate functions are disabled and PC13MODE = 1, PC13VALUE configures the
<> 156:95d6b41a828b 838 * PC13 output data
<> 156:95d6b41a828b 839 * @rmtoll TAFCR ALARMOUTTYPE LL_RTC_GetAlarmOutputType
<> 156:95d6b41a828b 840 * @param RTCx RTC Instance
<> 156:95d6b41a828b 841 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 842 * @arg @ref LL_RTC_ALARM_OUTPUTTYPE_OPENDRAIN
<> 156:95d6b41a828b 843 * @arg @ref LL_RTC_ALARM_OUTPUTTYPE_PUSHPULL
<> 156:95d6b41a828b 844 */
<> 156:95d6b41a828b 845 __STATIC_INLINE uint32_t LL_RTC_GetAlarmOutputType(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 846 {
<> 156:95d6b41a828b 847 return (uint32_t)(READ_BIT(RTCx->TAFCR, RTC_TAFCR_ALARMOUTTYPE));
<> 156:95d6b41a828b 848 }
<> 156:95d6b41a828b 849
<> 156:95d6b41a828b 850 /**
<> 156:95d6b41a828b 851 * @brief Enable push-pull output on PC13, PC14 and/or PC15
<> 156:95d6b41a828b 852 * @note PC13 forced to push-pull output if all RTC alternate functions are disabled
<> 156:95d6b41a828b 853 * @note PC14 and PC15 forced to push-pull output if LSE is disabled
<> 156:95d6b41a828b 854 * @rmtoll TAFCR PC13MODE LL_RTC_EnablePushPullMode\n
<> 156:95d6b41a828b 855 * @rmtoll TAFCR PC14MODE LL_RTC_EnablePushPullMode\n
<> 156:95d6b41a828b 856 * @rmtoll TAFCR PC15MODE LL_RTC_EnablePushPullMode
<> 156:95d6b41a828b 857 * @param RTCx RTC Instance
<> 156:95d6b41a828b 858 * @param PinMask This parameter can be a combination of the following values:
<> 156:95d6b41a828b 859 * @arg @ref LL_RTC_PIN_PC13
<> 156:95d6b41a828b 860 * @arg @ref LL_RTC_PIN_PC14
<> 156:95d6b41a828b 861 * @arg @ref LL_RTC_PIN_PC15
<> 156:95d6b41a828b 862 * @retval None
<> 156:95d6b41a828b 863 */
<> 156:95d6b41a828b 864 __STATIC_INLINE void LL_RTC_EnablePushPullMode(RTC_TypeDef *RTCx, uint32_t PinMask)
<> 156:95d6b41a828b 865 {
<> 156:95d6b41a828b 866 SET_BIT(RTCx->TAFCR, PinMask);
<> 156:95d6b41a828b 867 }
<> 156:95d6b41a828b 868
<> 156:95d6b41a828b 869 /**
<> 156:95d6b41a828b 870 * @brief Disable push-pull output on PC13, PC14 and/or PC15
<> 156:95d6b41a828b 871 * @note PC13, PC14 and/or PC15 are controlled by the GPIO configuration registers.
<> 156:95d6b41a828b 872 * Consequently PC13, PC14 and/or PC15 are floating in Standby mode.
<> 156:95d6b41a828b 873 * @rmtoll TAFCR PC13MODE LL_RTC_DisablePushPullMode\n
<> 156:95d6b41a828b 874 * TAFCR PC14MODE LL_RTC_DisablePushPullMode\n
<> 156:95d6b41a828b 875 * TAFCR PC15MODE LL_RTC_DisablePushPullMode
<> 156:95d6b41a828b 876 * @param RTCx RTC Instance
<> 156:95d6b41a828b 877 * @param PinMask This parameter can be a combination of the following values:
<> 156:95d6b41a828b 878 * @arg @ref LL_RTC_PIN_PC13
<> 156:95d6b41a828b 879 * @arg @ref LL_RTC_PIN_PC14
<> 156:95d6b41a828b 880 * @arg @ref LL_RTC_PIN_PC15
<> 156:95d6b41a828b 881 * @retval None
<> 156:95d6b41a828b 882 */
<> 156:95d6b41a828b 883 __STATIC_INLINE void LL_RTC_DisablePushPullMode(RTC_TypeDef* RTCx, uint32_t PinMask)
<> 156:95d6b41a828b 884 {
<> 156:95d6b41a828b 885 CLEAR_BIT(RTCx->TAFCR, PinMask);
<> 156:95d6b41a828b 886 }
<> 156:95d6b41a828b 887
<> 156:95d6b41a828b 888 /**
<> 156:95d6b41a828b 889 * @brief Set PC14 and/or PC15 to high level.
<> 156:95d6b41a828b 890 * @note Output data configuration is possible if the LSE is disabled and PushPull output is enabled (through @ref LL_RTC_EnablePushPullMode)
<> 156:95d6b41a828b 891 * @rmtoll TAFCR PC14VALUE LL_RTC_SetOutputPin\n
<> 156:95d6b41a828b 892 * TAFCR PC15VALUE LL_RTC_SetOutputPin
<> 156:95d6b41a828b 893 * @param RTCx RTC Instance
<> 156:95d6b41a828b 894 * @param PinMask This parameter can be a combination of the following values:
<> 156:95d6b41a828b 895 * @arg @ref LL_RTC_PIN_PC14
<> 156:95d6b41a828b 896 * @arg @ref LL_RTC_PIN_PC15
<> 156:95d6b41a828b 897 * @retval None
<> 156:95d6b41a828b 898 */
<> 156:95d6b41a828b 899 __STATIC_INLINE void LL_RTC_SetOutputPin(RTC_TypeDef* RTCx, uint32_t PinMask)
<> 156:95d6b41a828b 900 {
<> 156:95d6b41a828b 901 SET_BIT(RTCx->TAFCR, (PinMask >> 1));
<> 156:95d6b41a828b 902 }
<> 156:95d6b41a828b 903
<> 156:95d6b41a828b 904 /**
<> 156:95d6b41a828b 905 * @brief Set PC14 and/or PC15 to low level.
<> 156:95d6b41a828b 906 * @note Output data configuration is possible if the LSE is disabled and PushPull output is enabled (through @ref LL_RTC_EnablePushPullMode)
<> 156:95d6b41a828b 907 * @rmtoll TAFCR PC14VALUE LL_RTC_ResetOutputPin\n
<> 156:95d6b41a828b 908 * TAFCR PC15VALUE LL_RTC_ResetOutputPin
<> 156:95d6b41a828b 909 * @param RTCx RTC Instance
<> 156:95d6b41a828b 910 * @param PinMask This parameter can be a combination of the following values:
<> 156:95d6b41a828b 911 * @arg @ref LL_RTC_PIN_PC14
<> 156:95d6b41a828b 912 * @arg @ref LL_RTC_PIN_PC15
<> 156:95d6b41a828b 913 * @retval None
<> 156:95d6b41a828b 914 */
<> 156:95d6b41a828b 915 __STATIC_INLINE void LL_RTC_ResetOutputPin(RTC_TypeDef* RTCx, uint32_t PinMask)
<> 156:95d6b41a828b 916 {
<> 156:95d6b41a828b 917 CLEAR_BIT(RTCx->TAFCR, (PinMask >> 1));
<> 156:95d6b41a828b 918 }
<> 156:95d6b41a828b 919
<> 156:95d6b41a828b 920 /**
<> 156:95d6b41a828b 921 * @brief Enable initialization mode
<> 156:95d6b41a828b 922 * @note Initialization mode is used to program time and date register (RTC_TR and RTC_DR)
<> 156:95d6b41a828b 923 * and prescaler register (RTC_PRER).
<> 156:95d6b41a828b 924 * Counters are stopped and start counting from the new value when INIT is reset.
<> 156:95d6b41a828b 925 * @rmtoll ISR INIT LL_RTC_EnableInitMode
<> 156:95d6b41a828b 926 * @param RTCx RTC Instance
<> 156:95d6b41a828b 927 * @retval None
<> 156:95d6b41a828b 928 */
<> 156:95d6b41a828b 929 __STATIC_INLINE void LL_RTC_EnableInitMode(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 930 {
<> 156:95d6b41a828b 931 /* Set the Initialization mode */
<> 156:95d6b41a828b 932 WRITE_REG(RTCx->ISR, RTC_INIT_MASK);
<> 156:95d6b41a828b 933 }
<> 156:95d6b41a828b 934
<> 156:95d6b41a828b 935 /**
<> 156:95d6b41a828b 936 * @brief Disable initialization mode (Free running mode)
<> 156:95d6b41a828b 937 * @rmtoll ISR INIT LL_RTC_DisableInitMode
<> 156:95d6b41a828b 938 * @param RTCx RTC Instance
<> 156:95d6b41a828b 939 * @retval None
<> 156:95d6b41a828b 940 */
<> 156:95d6b41a828b 941 __STATIC_INLINE void LL_RTC_DisableInitMode(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 942 {
<> 156:95d6b41a828b 943 /* Exit Initialization mode */
<> 156:95d6b41a828b 944 WRITE_REG(RTCx->ISR, (uint32_t)~RTC_ISR_INIT);
<> 156:95d6b41a828b 945 }
<> 156:95d6b41a828b 946
<> 156:95d6b41a828b 947 /**
<> 156:95d6b41a828b 948 * @brief Set Output polarity (pin is low when ALRAF/ALRBF/WUTF is asserted)
<> 156:95d6b41a828b 949 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 950 * @rmtoll CR POL LL_RTC_SetOutputPolarity
<> 156:95d6b41a828b 951 * @param RTCx RTC Instance
<> 156:95d6b41a828b 952 * @param Polarity This parameter can be one of the following values:
<> 156:95d6b41a828b 953 * @arg @ref LL_RTC_OUTPUTPOLARITY_PIN_HIGH
<> 156:95d6b41a828b 954 * @arg @ref LL_RTC_OUTPUTPOLARITY_PIN_LOW
<> 156:95d6b41a828b 955 * @retval None
<> 156:95d6b41a828b 956 */
<> 156:95d6b41a828b 957 __STATIC_INLINE void LL_RTC_SetOutputPolarity(RTC_TypeDef *RTCx, uint32_t Polarity)
<> 156:95d6b41a828b 958 {
<> 156:95d6b41a828b 959 MODIFY_REG(RTCx->CR, RTC_CR_POL, Polarity);
<> 156:95d6b41a828b 960 }
<> 156:95d6b41a828b 961
<> 156:95d6b41a828b 962 /**
<> 156:95d6b41a828b 963 * @brief Get Output polarity
<> 156:95d6b41a828b 964 * @rmtoll CR POL LL_RTC_GetOutputPolarity
<> 156:95d6b41a828b 965 * @param RTCx RTC Instance
<> 156:95d6b41a828b 966 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 967 * @arg @ref LL_RTC_OUTPUTPOLARITY_PIN_HIGH
<> 156:95d6b41a828b 968 * @arg @ref LL_RTC_OUTPUTPOLARITY_PIN_LOW
<> 156:95d6b41a828b 969 */
<> 156:95d6b41a828b 970 __STATIC_INLINE uint32_t LL_RTC_GetOutputPolarity(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 971 {
<> 156:95d6b41a828b 972 return (uint32_t)(READ_BIT(RTCx->CR, RTC_CR_POL));
<> 156:95d6b41a828b 973 }
<> 156:95d6b41a828b 974
<> 156:95d6b41a828b 975 /**
<> 156:95d6b41a828b 976 * @brief Enable Bypass the shadow registers
<> 156:95d6b41a828b 977 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 978 * @rmtoll CR BYPSHAD LL_RTC_EnableShadowRegBypass
<> 156:95d6b41a828b 979 * @param RTCx RTC Instance
<> 156:95d6b41a828b 980 * @retval None
<> 156:95d6b41a828b 981 */
<> 156:95d6b41a828b 982 __STATIC_INLINE void LL_RTC_EnableShadowRegBypass(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 983 {
<> 156:95d6b41a828b 984 SET_BIT(RTCx->CR, RTC_CR_BYPSHAD);
<> 156:95d6b41a828b 985 }
<> 156:95d6b41a828b 986
<> 156:95d6b41a828b 987 /**
<> 156:95d6b41a828b 988 * @brief Disable Bypass the shadow registers
<> 156:95d6b41a828b 989 * @rmtoll CR BYPSHAD LL_RTC_DisableShadowRegBypass
<> 156:95d6b41a828b 990 * @param RTCx RTC Instance
<> 156:95d6b41a828b 991 * @retval None
<> 156:95d6b41a828b 992 */
<> 156:95d6b41a828b 993 __STATIC_INLINE void LL_RTC_DisableShadowRegBypass(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 994 {
<> 156:95d6b41a828b 995 CLEAR_BIT(RTCx->CR, RTC_CR_BYPSHAD);
<> 156:95d6b41a828b 996 }
<> 156:95d6b41a828b 997
<> 156:95d6b41a828b 998 /**
<> 156:95d6b41a828b 999 * @brief Check if Shadow registers bypass is enabled or not.
<> 156:95d6b41a828b 1000 * @rmtoll CR BYPSHAD LL_RTC_IsShadowRegBypassEnabled
<> 156:95d6b41a828b 1001 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1002 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 1003 */
<> 156:95d6b41a828b 1004 __STATIC_INLINE uint32_t LL_RTC_IsShadowRegBypassEnabled(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1005 {
<> 156:95d6b41a828b 1006 return (READ_BIT(RTCx->CR, RTC_CR_BYPSHAD) == (RTC_CR_BYPSHAD));
<> 156:95d6b41a828b 1007 }
<> 156:95d6b41a828b 1008
<> 156:95d6b41a828b 1009 /**
<> 156:95d6b41a828b 1010 * @brief Enable RTC_REFIN reference clock detection (50 or 60 Hz)
<> 156:95d6b41a828b 1011 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 1012 * @note It can be written in initialization mode only (@ref LL_RTC_EnableInitMode function)
<> 156:95d6b41a828b 1013 * @rmtoll CR REFCKON LL_RTC_EnableRefClock
<> 156:95d6b41a828b 1014 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1015 * @retval None
<> 156:95d6b41a828b 1016 */
<> 156:95d6b41a828b 1017 __STATIC_INLINE void LL_RTC_EnableRefClock(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1018 {
<> 156:95d6b41a828b 1019 SET_BIT(RTCx->CR, RTC_CR_REFCKON);
<> 156:95d6b41a828b 1020 }
<> 156:95d6b41a828b 1021
<> 156:95d6b41a828b 1022 /**
<> 156:95d6b41a828b 1023 * @brief Disable RTC_REFIN reference clock detection (50 or 60 Hz)
<> 156:95d6b41a828b 1024 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 1025 * @note It can be written in initialization mode only (@ref LL_RTC_EnableInitMode function)
<> 156:95d6b41a828b 1026 * @rmtoll CR REFCKON LL_RTC_DisableRefClock
<> 156:95d6b41a828b 1027 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1028 * @retval None
<> 156:95d6b41a828b 1029 */
<> 156:95d6b41a828b 1030 __STATIC_INLINE void LL_RTC_DisableRefClock(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1031 {
<> 156:95d6b41a828b 1032 CLEAR_BIT(RTCx->CR, RTC_CR_REFCKON);
<> 156:95d6b41a828b 1033 }
<> 156:95d6b41a828b 1034
<> 156:95d6b41a828b 1035 /**
<> 156:95d6b41a828b 1036 * @brief Set Asynchronous prescaler factor
<> 156:95d6b41a828b 1037 * @rmtoll PRER PREDIV_A LL_RTC_SetAsynchPrescaler
<> 156:95d6b41a828b 1038 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1039 * @param AsynchPrescaler Value between Min_Data = 0 and Max_Data = 0x7F
<> 156:95d6b41a828b 1040 * @retval None
<> 156:95d6b41a828b 1041 */
<> 156:95d6b41a828b 1042 __STATIC_INLINE void LL_RTC_SetAsynchPrescaler(RTC_TypeDef *RTCx, uint32_t AsynchPrescaler)
<> 156:95d6b41a828b 1043 {
<> 156:95d6b41a828b 1044 MODIFY_REG(RTCx->PRER, RTC_PRER_PREDIV_A, AsynchPrescaler << RTC_POSITION_PRER_PREDIV_A);
<> 156:95d6b41a828b 1045 }
<> 156:95d6b41a828b 1046
<> 156:95d6b41a828b 1047 /**
<> 156:95d6b41a828b 1048 * @brief Set Synchronous prescaler factor
<> 156:95d6b41a828b 1049 * @rmtoll PRER PREDIV_S LL_RTC_SetSynchPrescaler
<> 156:95d6b41a828b 1050 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1051 * @param SynchPrescaler Value between Min_Data = 0 and Max_Data = 0x7FFF
<> 156:95d6b41a828b 1052 * @retval None
<> 156:95d6b41a828b 1053 */
<> 156:95d6b41a828b 1054 __STATIC_INLINE void LL_RTC_SetSynchPrescaler(RTC_TypeDef *RTCx, uint32_t SynchPrescaler)
<> 156:95d6b41a828b 1055 {
<> 156:95d6b41a828b 1056 MODIFY_REG(RTCx->PRER, RTC_PRER_PREDIV_S, SynchPrescaler);
<> 156:95d6b41a828b 1057 }
<> 156:95d6b41a828b 1058
<> 156:95d6b41a828b 1059 /**
<> 156:95d6b41a828b 1060 * @brief Get Asynchronous prescaler factor
<> 156:95d6b41a828b 1061 * @rmtoll PRER PREDIV_A LL_RTC_GetAsynchPrescaler
<> 156:95d6b41a828b 1062 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1063 * @retval Value between Min_Data = 0 and Max_Data = 0x7F
<> 156:95d6b41a828b 1064 */
<> 156:95d6b41a828b 1065 __STATIC_INLINE uint32_t LL_RTC_GetAsynchPrescaler(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1066 {
<> 156:95d6b41a828b 1067 return (uint32_t)(READ_BIT(RTCx->PRER, RTC_PRER_PREDIV_A) >> RTC_POSITION_PRER_PREDIV_A);
<> 156:95d6b41a828b 1068 }
<> 156:95d6b41a828b 1069
<> 156:95d6b41a828b 1070 /**
<> 156:95d6b41a828b 1071 * @brief Get Synchronous prescaler factor
<> 156:95d6b41a828b 1072 * @rmtoll PRER PREDIV_S LL_RTC_GetSynchPrescaler
<> 156:95d6b41a828b 1073 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1074 * @retval Value between Min_Data = 0 and Max_Data = 0x7FFF
<> 156:95d6b41a828b 1075 */
<> 156:95d6b41a828b 1076 __STATIC_INLINE uint32_t LL_RTC_GetSynchPrescaler(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1077 {
<> 156:95d6b41a828b 1078 return (uint32_t)(READ_BIT(RTCx->PRER, RTC_PRER_PREDIV_S));
<> 156:95d6b41a828b 1079 }
<> 156:95d6b41a828b 1080
<> 156:95d6b41a828b 1081 /**
<> 156:95d6b41a828b 1082 * @brief Enable the write protection for RTC registers.
<> 156:95d6b41a828b 1083 * @rmtoll WPR KEY LL_RTC_EnableWriteProtection
<> 156:95d6b41a828b 1084 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1085 * @retval None
<> 156:95d6b41a828b 1086 */
<> 156:95d6b41a828b 1087 __STATIC_INLINE void LL_RTC_EnableWriteProtection(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1088 {
<> 156:95d6b41a828b 1089 WRITE_REG(RTCx->WPR, RTC_WRITE_PROTECTION_DISABLE);
<> 156:95d6b41a828b 1090 }
<> 156:95d6b41a828b 1091
<> 156:95d6b41a828b 1092 /**
<> 156:95d6b41a828b 1093 * @brief Disable the write protection for RTC registers.
<> 156:95d6b41a828b 1094 * @rmtoll WPR KEY LL_RTC_DisableWriteProtection
<> 156:95d6b41a828b 1095 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1096 * @retval None
<> 156:95d6b41a828b 1097 */
<> 156:95d6b41a828b 1098 __STATIC_INLINE void LL_RTC_DisableWriteProtection(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1099 {
<> 156:95d6b41a828b 1100 WRITE_REG(RTCx->WPR, RTC_WRITE_PROTECTION_ENABLE_1);
<> 156:95d6b41a828b 1101 WRITE_REG(RTCx->WPR, RTC_WRITE_PROTECTION_ENABLE_2);
<> 156:95d6b41a828b 1102 }
<> 156:95d6b41a828b 1103
<> 156:95d6b41a828b 1104 /**
<> 156:95d6b41a828b 1105 * @}
<> 156:95d6b41a828b 1106 */
<> 156:95d6b41a828b 1107
<> 156:95d6b41a828b 1108 /** @defgroup RTC_LL_EF_Time Time
<> 156:95d6b41a828b 1109 * @{
<> 156:95d6b41a828b 1110 */
<> 156:95d6b41a828b 1111
<> 156:95d6b41a828b 1112 /**
<> 156:95d6b41a828b 1113 * @brief Set time format (AM/24-hour or PM notation)
<> 156:95d6b41a828b 1114 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 1115 * @note It can be written in initialization mode only (@ref LL_RTC_EnableInitMode function)
<> 156:95d6b41a828b 1116 * @rmtoll TR PM LL_RTC_TIME_SetFormat
<> 156:95d6b41a828b 1117 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1118 * @param TimeFormat This parameter can be one of the following values:
<> 156:95d6b41a828b 1119 * @arg @ref LL_RTC_TIME_FORMAT_AM_OR_24
<> 156:95d6b41a828b 1120 * @arg @ref LL_RTC_TIME_FORMAT_PM
<> 156:95d6b41a828b 1121 * @retval None
<> 156:95d6b41a828b 1122 */
<> 156:95d6b41a828b 1123 __STATIC_INLINE void LL_RTC_TIME_SetFormat(RTC_TypeDef *RTCx, uint32_t TimeFormat)
<> 156:95d6b41a828b 1124 {
<> 156:95d6b41a828b 1125 MODIFY_REG(RTCx->TR, RTC_TR_PM, TimeFormat);
<> 156:95d6b41a828b 1126 }
<> 156:95d6b41a828b 1127
<> 156:95d6b41a828b 1128 /**
<> 156:95d6b41a828b 1129 * @brief Get time format (AM or PM notation)
<> 156:95d6b41a828b 1130 * @note if shadow mode is disabled (BYPSHAD=0), need to check if RSF flag is set
<> 156:95d6b41a828b 1131 * before reading this bit
<> 156:95d6b41a828b 1132 * @note Read either RTC_SSR or RTC_TR locks the values in the higher-order calendar
<> 156:95d6b41a828b 1133 * shadow registers until RTC_DR is read (LL_RTC_ReadReg(RTC, DR)).
<> 156:95d6b41a828b 1134 * @rmtoll TR PM LL_RTC_TIME_GetFormat
<> 156:95d6b41a828b 1135 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1136 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 1137 * @arg @ref LL_RTC_TIME_FORMAT_AM_OR_24
<> 156:95d6b41a828b 1138 * @arg @ref LL_RTC_TIME_FORMAT_PM
<> 156:95d6b41a828b 1139 */
<> 156:95d6b41a828b 1140 __STATIC_INLINE uint32_t LL_RTC_TIME_GetFormat(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1141 {
<> 156:95d6b41a828b 1142 return (uint32_t)(READ_BIT(RTCx->TR, RTC_TR_PM));
<> 156:95d6b41a828b 1143 }
<> 156:95d6b41a828b 1144
<> 156:95d6b41a828b 1145 /**
<> 156:95d6b41a828b 1146 * @brief Set Hours in BCD format
<> 156:95d6b41a828b 1147 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 1148 * @note It can be written in initialization mode only (@ref LL_RTC_EnableInitMode function)
<> 156:95d6b41a828b 1149 * @note helper macro __LL_RTC_CONVERT_BIN2BCD is available to convert hour from binary to BCD format
<> 156:95d6b41a828b 1150 * @rmtoll TR HT LL_RTC_TIME_SetHour\n
<> 156:95d6b41a828b 1151 * TR HU LL_RTC_TIME_SetHour
<> 156:95d6b41a828b 1152 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1153 * @param Hours Value between Min_Data=0x01 and Max_Data=0x12 or between Min_Data=0x00 and Max_Data=0x23
<> 156:95d6b41a828b 1154 * @retval None
<> 156:95d6b41a828b 1155 */
<> 156:95d6b41a828b 1156 __STATIC_INLINE void LL_RTC_TIME_SetHour(RTC_TypeDef *RTCx, uint32_t Hours)
<> 156:95d6b41a828b 1157 {
<> 156:95d6b41a828b 1158 MODIFY_REG(RTCx->TR, (RTC_TR_HT | RTC_TR_HU),
<> 156:95d6b41a828b 1159 (((Hours & 0xF0U) << (RTC_POSITION_TR_HT - 4U)) | ((Hours & 0x0FU) << RTC_POSITION_TR_HU)));
<> 156:95d6b41a828b 1160 }
<> 156:95d6b41a828b 1161
<> 156:95d6b41a828b 1162 /**
<> 156:95d6b41a828b 1163 * @brief Get Hours in BCD format
<> 156:95d6b41a828b 1164 * @note if shadow mode is disabled (BYPSHAD=0), need to check if RSF flag is set
<> 156:95d6b41a828b 1165 * before reading this bit
<> 156:95d6b41a828b 1166 * @note Read either RTC_SSR or RTC_TR locks the values in the higher-order calendar
<> 156:95d6b41a828b 1167 * shadow registers until RTC_DR is read (LL_RTC_ReadReg(RTC, DR)).
<> 156:95d6b41a828b 1168 * @note helper macro __LL_RTC_CONVERT_BCD2BIN is available to convert hour from BCD to
<> 156:95d6b41a828b 1169 * Binary format
<> 156:95d6b41a828b 1170 * @rmtoll TR HT LL_RTC_TIME_GetHour\n
<> 156:95d6b41a828b 1171 * TR HU LL_RTC_TIME_GetHour
<> 156:95d6b41a828b 1172 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1173 * @retval Value between Min_Data=0x01 and Max_Data=0x12 or between Min_Data=0x00 and Max_Data=0x23
<> 156:95d6b41a828b 1174 */
<> 156:95d6b41a828b 1175 __STATIC_INLINE uint32_t LL_RTC_TIME_GetHour(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1176 {
<> 156:95d6b41a828b 1177 register uint32_t temp = 0U;
<> 156:95d6b41a828b 1178
<> 156:95d6b41a828b 1179 temp = READ_BIT(RTCx->TR, (RTC_TR_HT | RTC_TR_HU));
<> 156:95d6b41a828b 1180 return (uint32_t)((((temp & RTC_TR_HT) >> RTC_POSITION_TR_HT) << 4U) | ((temp & RTC_TR_HU) >> RTC_POSITION_TR_HU));
<> 156:95d6b41a828b 1181 }
<> 156:95d6b41a828b 1182
<> 156:95d6b41a828b 1183 /**
<> 156:95d6b41a828b 1184 * @brief Set Minutes in BCD format
<> 156:95d6b41a828b 1185 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 1186 * @note It can be written in initialization mode only (@ref LL_RTC_EnableInitMode function)
<> 156:95d6b41a828b 1187 * @note helper macro __LL_RTC_CONVERT_BIN2BCD is available to convert Minutes from binary to BCD format
<> 156:95d6b41a828b 1188 * @rmtoll TR MNT LL_RTC_TIME_SetMinute\n
<> 156:95d6b41a828b 1189 * TR MNU LL_RTC_TIME_SetMinute
<> 156:95d6b41a828b 1190 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1191 * @param Minutes Value between Min_Data=0x00 and Max_Data=0x59
<> 156:95d6b41a828b 1192 * @retval None
<> 156:95d6b41a828b 1193 */
<> 156:95d6b41a828b 1194 __STATIC_INLINE void LL_RTC_TIME_SetMinute(RTC_TypeDef *RTCx, uint32_t Minutes)
<> 156:95d6b41a828b 1195 {
<> 156:95d6b41a828b 1196 MODIFY_REG(RTCx->TR, (RTC_TR_MNT | RTC_TR_MNU),
<> 156:95d6b41a828b 1197 (((Minutes & 0xF0U) << (RTC_POSITION_TR_MT - 4U)) | ((Minutes & 0x0FU) << RTC_POSITION_TR_MU)));
<> 156:95d6b41a828b 1198 }
<> 156:95d6b41a828b 1199
<> 156:95d6b41a828b 1200 /**
<> 156:95d6b41a828b 1201 * @brief Get Minutes in BCD format
<> 156:95d6b41a828b 1202 * @note if shadow mode is disabled (BYPSHAD=0), need to check if RSF flag is set
<> 156:95d6b41a828b 1203 * before reading this bit
<> 156:95d6b41a828b 1204 * @note Read either RTC_SSR or RTC_TR locks the values in the higher-order calendar
<> 156:95d6b41a828b 1205 * shadow registers until RTC_DR is read (LL_RTC_ReadReg(RTC, DR)).
<> 156:95d6b41a828b 1206 * @note helper macro __LL_RTC_CONVERT_BCD2BIN is available to convert minute from BCD
<> 156:95d6b41a828b 1207 * to Binary format
<> 156:95d6b41a828b 1208 * @rmtoll TR MNT LL_RTC_TIME_GetMinute\n
<> 156:95d6b41a828b 1209 * TR MNU LL_RTC_TIME_GetMinute
<> 156:95d6b41a828b 1210 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1211 * @retval Value between Min_Data=0x00 and Max_Data=0x59
<> 156:95d6b41a828b 1212 */
<> 156:95d6b41a828b 1213 __STATIC_INLINE uint32_t LL_RTC_TIME_GetMinute(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1214 {
<> 156:95d6b41a828b 1215 register uint32_t temp = 0U;
<> 156:95d6b41a828b 1216
<> 156:95d6b41a828b 1217 temp = READ_BIT(RTCx->TR, (RTC_TR_MNT | RTC_TR_MNU));
<> 156:95d6b41a828b 1218 return (uint32_t)((((temp & RTC_TR_MNT) >> RTC_POSITION_TR_MT) << 4U) | ((temp & RTC_TR_MNU) >> RTC_POSITION_TR_MU));
<> 156:95d6b41a828b 1219 }
<> 156:95d6b41a828b 1220
<> 156:95d6b41a828b 1221 /**
<> 156:95d6b41a828b 1222 * @brief Set Seconds in BCD format
<> 156:95d6b41a828b 1223 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 1224 * @note It can be written in initialization mode only (@ref LL_RTC_EnableInitMode function)
<> 156:95d6b41a828b 1225 * @note helper macro __LL_RTC_CONVERT_BIN2BCD is available to convert Seconds from binary to BCD format
<> 156:95d6b41a828b 1226 * @rmtoll TR ST LL_RTC_TIME_SetSecond\n
<> 156:95d6b41a828b 1227 * TR SU LL_RTC_TIME_SetSecond
<> 156:95d6b41a828b 1228 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1229 * @param Seconds Value between Min_Data=0x00 and Max_Data=0x59
<> 156:95d6b41a828b 1230 * @retval None
<> 156:95d6b41a828b 1231 */
<> 156:95d6b41a828b 1232 __STATIC_INLINE void LL_RTC_TIME_SetSecond(RTC_TypeDef *RTCx, uint32_t Seconds)
<> 156:95d6b41a828b 1233 {
<> 156:95d6b41a828b 1234 MODIFY_REG(RTCx->TR, (RTC_TR_ST | RTC_TR_SU),
<> 156:95d6b41a828b 1235 (((Seconds & 0xF0U) << (RTC_POSITION_TR_ST - 4U)) | ((Seconds & 0x0FU) << RTC_POSITION_TR_SU)));
<> 156:95d6b41a828b 1236 }
<> 156:95d6b41a828b 1237
<> 156:95d6b41a828b 1238 /**
<> 156:95d6b41a828b 1239 * @brief Get Seconds in BCD format
<> 156:95d6b41a828b 1240 * @note if shadow mode is disabled (BYPSHAD=0), need to check if RSF flag is set
<> 156:95d6b41a828b 1241 * before reading this bit
<> 156:95d6b41a828b 1242 * @note Read either RTC_SSR or RTC_TR locks the values in the higher-order calendar
<> 156:95d6b41a828b 1243 * shadow registers until RTC_DR is read (LL_RTC_ReadReg(RTC, DR)).
<> 156:95d6b41a828b 1244 * @note helper macro __LL_RTC_CONVERT_BCD2BIN is available to convert Seconds from BCD
<> 156:95d6b41a828b 1245 * to Binary format
<> 156:95d6b41a828b 1246 * @rmtoll TR ST LL_RTC_TIME_GetSecond\n
<> 156:95d6b41a828b 1247 * TR SU LL_RTC_TIME_GetSecond
<> 156:95d6b41a828b 1248 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1249 * @retval Value between Min_Data=0x00 and Max_Data=0x59
<> 156:95d6b41a828b 1250 */
<> 156:95d6b41a828b 1251 __STATIC_INLINE uint32_t LL_RTC_TIME_GetSecond(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1252 {
<> 156:95d6b41a828b 1253 register uint32_t temp = 0U;
<> 156:95d6b41a828b 1254
<> 156:95d6b41a828b 1255 temp = READ_BIT(RTCx->TR, (RTC_TR_ST | RTC_TR_SU));
<> 156:95d6b41a828b 1256 return (uint32_t)((((temp & RTC_TR_ST) >> RTC_POSITION_TR_ST) << 4U) | ((temp & RTC_TR_SU) >> RTC_POSITION_TR_SU));
<> 156:95d6b41a828b 1257 }
<> 156:95d6b41a828b 1258
<> 156:95d6b41a828b 1259 /**
<> 156:95d6b41a828b 1260 * @brief Set time (hour, minute and second) in BCD format
<> 156:95d6b41a828b 1261 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 1262 * @note It can be written in initialization mode only (@ref LL_RTC_EnableInitMode function)
<> 156:95d6b41a828b 1263 * @note TimeFormat and Hours should follow the same format
<> 156:95d6b41a828b 1264 * @rmtoll TR PM LL_RTC_TIME_Config\n
<> 156:95d6b41a828b 1265 * TR HT LL_RTC_TIME_Config\n
<> 156:95d6b41a828b 1266 * TR HU LL_RTC_TIME_Config\n
<> 156:95d6b41a828b 1267 * TR MNT LL_RTC_TIME_Config\n
<> 156:95d6b41a828b 1268 * TR MNU LL_RTC_TIME_Config\n
<> 156:95d6b41a828b 1269 * TR ST LL_RTC_TIME_Config\n
<> 156:95d6b41a828b 1270 * TR SU LL_RTC_TIME_Config
<> 156:95d6b41a828b 1271 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1272 * @param Format12_24 This parameter can be one of the following values:
<> 156:95d6b41a828b 1273 * @arg @ref LL_RTC_TIME_FORMAT_AM_OR_24
<> 156:95d6b41a828b 1274 * @arg @ref LL_RTC_TIME_FORMAT_PM
<> 156:95d6b41a828b 1275 * @param Hours Value between Min_Data=0x01 and Max_Data=0x12 or between Min_Data=0x00 and Max_Data=0x23
<> 156:95d6b41a828b 1276 * @param Minutes Value between Min_Data=0x00 and Max_Data=0x59
<> 156:95d6b41a828b 1277 * @param Seconds Value between Min_Data=0x00 and Max_Data=0x59
<> 156:95d6b41a828b 1278 * @retval None
<> 156:95d6b41a828b 1279 */
<> 156:95d6b41a828b 1280 __STATIC_INLINE void LL_RTC_TIME_Config(RTC_TypeDef *RTCx, uint32_t Format12_24, uint32_t Hours, uint32_t Minutes, uint32_t Seconds)
<> 156:95d6b41a828b 1281 {
<> 156:95d6b41a828b 1282 register uint32_t temp = 0U;
<> 156:95d6b41a828b 1283
<> 156:95d6b41a828b 1284 temp = Format12_24 | \
<> 156:95d6b41a828b 1285 (((Hours & 0xF0U) << (RTC_POSITION_TR_HT - 4U)) | ((Hours & 0x0FU) << RTC_POSITION_TR_HU)) | \
<> 156:95d6b41a828b 1286 (((Minutes & 0xF0U) << (RTC_POSITION_TR_MT - 4U)) | ((Minutes & 0x0FU) << RTC_POSITION_TR_MU)) | \
<> 156:95d6b41a828b 1287 (((Seconds & 0xF0U) << (RTC_POSITION_TR_ST - 4U)) | ((Seconds & 0x0FU) << RTC_POSITION_TR_SU));
<> 156:95d6b41a828b 1288 MODIFY_REG(RTCx->TR, (RTC_TR_PM | RTC_TR_HT | RTC_TR_HU | RTC_TR_MNT | RTC_TR_MNU | RTC_TR_ST | RTC_TR_SU), temp);
<> 156:95d6b41a828b 1289 }
<> 156:95d6b41a828b 1290
<> 156:95d6b41a828b 1291 /**
<> 156:95d6b41a828b 1292 * @brief Get time (hour, minute and second) in BCD format
<> 156:95d6b41a828b 1293 * @note if shadow mode is disabled (BYPSHAD=0), need to check if RSF flag is set
<> 156:95d6b41a828b 1294 * before reading this bit
<> 156:95d6b41a828b 1295 * @note Read either RTC_SSR or RTC_TR locks the values in the higher-order calendar
<> 156:95d6b41a828b 1296 * shadow registers until RTC_DR is read (LL_RTC_ReadReg(RTC, DR)).
<> 156:95d6b41a828b 1297 * @note helper macros __LL_RTC_GET_HOUR, __LL_RTC_GET_MINUTE and __LL_RTC_GET_SECOND
<> 156:95d6b41a828b 1298 * are available to get independently each parameter.
<> 156:95d6b41a828b 1299 * @rmtoll TR HT LL_RTC_TIME_Get\n
<> 156:95d6b41a828b 1300 * TR HU LL_RTC_TIME_Get\n
<> 156:95d6b41a828b 1301 * TR MNT LL_RTC_TIME_Get\n
<> 156:95d6b41a828b 1302 * TR MNU LL_RTC_TIME_Get\n
<> 156:95d6b41a828b 1303 * TR ST LL_RTC_TIME_Get\n
<> 156:95d6b41a828b 1304 * TR SU LL_RTC_TIME_Get
<> 156:95d6b41a828b 1305 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1306 * @retval Combination of hours, minutes and seconds (Format: 0x00HHMMSS).
<> 156:95d6b41a828b 1307 */
<> 156:95d6b41a828b 1308 __STATIC_INLINE uint32_t LL_RTC_TIME_Get(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1309 {
<> 156:95d6b41a828b 1310 return (uint32_t)((LL_RTC_TIME_GetHour(RTCx) << RTC_OFFSET_HOUR) | (LL_RTC_TIME_GetMinute(RTCx) << RTC_OFFSET_MINUTE) | LL_RTC_TIME_GetSecond(RTCx));
<> 156:95d6b41a828b 1311 }
<> 156:95d6b41a828b 1312
<> 156:95d6b41a828b 1313 /**
<> 156:95d6b41a828b 1314 * @brief Memorize whether the daylight saving time change has been performed
<> 156:95d6b41a828b 1315 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 1316 * @rmtoll CR BCK LL_RTC_TIME_EnableDayLightStore
<> 156:95d6b41a828b 1317 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1318 * @retval None
<> 156:95d6b41a828b 1319 */
<> 156:95d6b41a828b 1320 __STATIC_INLINE void LL_RTC_TIME_EnableDayLightStore(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1321 {
<> 156:95d6b41a828b 1322 SET_BIT(RTCx->CR, RTC_CR_BCK);
<> 156:95d6b41a828b 1323 }
<> 156:95d6b41a828b 1324
<> 156:95d6b41a828b 1325 /**
<> 156:95d6b41a828b 1326 * @brief Disable memorization whether the daylight saving time change has been performed.
<> 156:95d6b41a828b 1327 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 1328 * @rmtoll CR BCK LL_RTC_TIME_DisableDayLightStore
<> 156:95d6b41a828b 1329 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1330 * @retval None
<> 156:95d6b41a828b 1331 */
<> 156:95d6b41a828b 1332 __STATIC_INLINE void LL_RTC_TIME_DisableDayLightStore(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1333 {
<> 156:95d6b41a828b 1334 CLEAR_BIT(RTCx->CR, RTC_CR_BCK);
<> 156:95d6b41a828b 1335 }
<> 156:95d6b41a828b 1336
<> 156:95d6b41a828b 1337 /**
<> 156:95d6b41a828b 1338 * @brief Check if RTC Day Light Saving stored operation has been enabled or not
<> 156:95d6b41a828b 1339 * @rmtoll CR BCK LL_RTC_TIME_IsDayLightStoreEnabled
<> 156:95d6b41a828b 1340 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1341 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 1342 */
<> 156:95d6b41a828b 1343 __STATIC_INLINE uint32_t LL_RTC_TIME_IsDayLightStoreEnabled(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1344 {
<> 156:95d6b41a828b 1345 return (READ_BIT(RTCx->CR, RTC_CR_BCK) == (RTC_CR_BCK));
<> 156:95d6b41a828b 1346 }
<> 156:95d6b41a828b 1347
<> 156:95d6b41a828b 1348 /**
<> 156:95d6b41a828b 1349 * @brief Subtract 1 hour (winter time change)
<> 156:95d6b41a828b 1350 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 1351 * @rmtoll CR SUB1H LL_RTC_TIME_DecHour
<> 156:95d6b41a828b 1352 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1353 * @retval None
<> 156:95d6b41a828b 1354 */
<> 156:95d6b41a828b 1355 __STATIC_INLINE void LL_RTC_TIME_DecHour(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1356 {
<> 156:95d6b41a828b 1357 SET_BIT(RTCx->CR, RTC_CR_SUB1H);
<> 156:95d6b41a828b 1358 }
<> 156:95d6b41a828b 1359
<> 156:95d6b41a828b 1360 /**
<> 156:95d6b41a828b 1361 * @brief Add 1 hour (summer time change)
<> 156:95d6b41a828b 1362 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 1363 * @rmtoll CR ADD1H LL_RTC_TIME_IncHour
<> 156:95d6b41a828b 1364 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1365 * @retval None
<> 156:95d6b41a828b 1366 */
<> 156:95d6b41a828b 1367 __STATIC_INLINE void LL_RTC_TIME_IncHour(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1368 {
<> 156:95d6b41a828b 1369 SET_BIT(RTCx->CR, RTC_CR_ADD1H);
<> 156:95d6b41a828b 1370 }
<> 156:95d6b41a828b 1371
<> 156:95d6b41a828b 1372 /**
<> 156:95d6b41a828b 1373 * @brief Get Sub second value in the synchronous prescaler counter.
<> 156:95d6b41a828b 1374 * @note You can use both SubSeconds value and SecondFraction (PREDIV_S through
<> 156:95d6b41a828b 1375 * LL_RTC_GetSynchPrescaler function) terms returned to convert Calendar
<> 156:95d6b41a828b 1376 * SubSeconds value in second fraction ratio with time unit following
<> 156:95d6b41a828b 1377 * generic formula:
<> 156:95d6b41a828b 1378 * ==> Seconds fraction ratio * time_unit= [(SecondFraction-SubSeconds)/(SecondFraction+1)] * time_unit
<> 156:95d6b41a828b 1379 * This conversion can be performed only if no shift operation is pending
<> 156:95d6b41a828b 1380 * (ie. SHFP=0) when PREDIV_S >= SS.
<> 156:95d6b41a828b 1381 * @rmtoll SSR SS LL_RTC_TIME_GetSubSecond
<> 156:95d6b41a828b 1382 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1383 * @retval Sub second value (number between 0 and 65535)
<> 156:95d6b41a828b 1384 */
<> 156:95d6b41a828b 1385 __STATIC_INLINE uint32_t LL_RTC_TIME_GetSubSecond(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1386 {
<> 156:95d6b41a828b 1387 return (uint32_t)(READ_BIT(RTCx->SSR, RTC_SSR_SS));
<> 156:95d6b41a828b 1388 }
<> 156:95d6b41a828b 1389
<> 156:95d6b41a828b 1390 /**
<> 156:95d6b41a828b 1391 * @brief Synchronize to a remote clock with a high degree of precision.
<> 156:95d6b41a828b 1392 * @note This operation effectively subtracts from (delays) or advance the clock of a fraction of a second.
<> 156:95d6b41a828b 1393 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 1394 * @note When REFCKON is set, firmware must not write to Shift control register.
<> 156:95d6b41a828b 1395 * @rmtoll SHIFTR ADD1S LL_RTC_TIME_Synchronize\n
<> 156:95d6b41a828b 1396 * SHIFTR SUBFS LL_RTC_TIME_Synchronize
<> 156:95d6b41a828b 1397 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1398 * @param ShiftSecond This parameter can be one of the following values:
<> 156:95d6b41a828b 1399 * @arg @ref LL_RTC_SHIFT_SECOND_DELAY
<> 156:95d6b41a828b 1400 * @arg @ref LL_RTC_SHIFT_SECOND_ADVANCE
<> 156:95d6b41a828b 1401 * @param Fraction Number of Seconds Fractions (any value from 0 to 0x7FFF)
<> 156:95d6b41a828b 1402 * @retval None
<> 156:95d6b41a828b 1403 */
<> 156:95d6b41a828b 1404 __STATIC_INLINE void LL_RTC_TIME_Synchronize(RTC_TypeDef *RTCx, uint32_t ShiftSecond, uint32_t Fraction)
<> 156:95d6b41a828b 1405 {
<> 156:95d6b41a828b 1406 WRITE_REG(RTCx->SHIFTR, ShiftSecond | Fraction);
<> 156:95d6b41a828b 1407 }
<> 156:95d6b41a828b 1408
<> 156:95d6b41a828b 1409 /**
<> 156:95d6b41a828b 1410 * @}
<> 156:95d6b41a828b 1411 */
<> 156:95d6b41a828b 1412
<> 156:95d6b41a828b 1413 /** @defgroup RTC_LL_EF_Date Date
<> 156:95d6b41a828b 1414 * @{
<> 156:95d6b41a828b 1415 */
<> 156:95d6b41a828b 1416
<> 156:95d6b41a828b 1417 /**
<> 156:95d6b41a828b 1418 * @brief Set Year in BCD format
<> 156:95d6b41a828b 1419 * @note helper macro __LL_RTC_CONVERT_BIN2BCD is available to convert Year from binary to BCD format
<> 156:95d6b41a828b 1420 * @rmtoll DR YT LL_RTC_DATE_SetYear\n
<> 156:95d6b41a828b 1421 * DR YU LL_RTC_DATE_SetYear
<> 156:95d6b41a828b 1422 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1423 * @param Year Value between Min_Data=0x00 and Max_Data=0x99
<> 156:95d6b41a828b 1424 * @retval None
<> 156:95d6b41a828b 1425 */
<> 156:95d6b41a828b 1426 __STATIC_INLINE void LL_RTC_DATE_SetYear(RTC_TypeDef *RTCx, uint32_t Year)
<> 156:95d6b41a828b 1427 {
<> 156:95d6b41a828b 1428 MODIFY_REG(RTCx->DR, (RTC_DR_YT | RTC_DR_YU),
<> 156:95d6b41a828b 1429 (((Year & 0xF0U) << (RTC_POSITION_DR_YT - 4U)) | ((Year & 0x0FU) << RTC_POSITION_DR_YU)));
<> 156:95d6b41a828b 1430 }
<> 156:95d6b41a828b 1431
<> 156:95d6b41a828b 1432 /**
<> 156:95d6b41a828b 1433 * @brief Get Year in BCD format
<> 156:95d6b41a828b 1434 * @note if shadow mode is disabled (BYPSHAD=0), need to check if RSF flag is set
<> 156:95d6b41a828b 1435 * before reading this bit
<> 156:95d6b41a828b 1436 * @note helper macro __LL_RTC_CONVERT_BCD2BIN is available to convert Year from BCD to Binary format
<> 156:95d6b41a828b 1437 * @rmtoll DR YT LL_RTC_DATE_GetYear\n
<> 156:95d6b41a828b 1438 * DR YU LL_RTC_DATE_GetYear
<> 156:95d6b41a828b 1439 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1440 * @retval Value between Min_Data=0x00 and Max_Data=0x99
<> 156:95d6b41a828b 1441 */
<> 156:95d6b41a828b 1442 __STATIC_INLINE uint32_t LL_RTC_DATE_GetYear(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1443 {
<> 156:95d6b41a828b 1444 register uint32_t temp = 0U;
<> 156:95d6b41a828b 1445
<> 156:95d6b41a828b 1446 temp = READ_BIT(RTCx->DR, (RTC_DR_YT | RTC_DR_YU));
<> 156:95d6b41a828b 1447 return (uint32_t)((((temp & RTC_DR_YT) >> RTC_POSITION_DR_YT) << 4U) | ((temp & RTC_DR_YU) >> RTC_POSITION_DR_YU));
<> 156:95d6b41a828b 1448 }
<> 156:95d6b41a828b 1449
<> 156:95d6b41a828b 1450 /**
<> 156:95d6b41a828b 1451 * @brief Set Week day
<> 156:95d6b41a828b 1452 * @rmtoll DR WDU LL_RTC_DATE_SetWeekDay
<> 156:95d6b41a828b 1453 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1454 * @param WeekDay This parameter can be one of the following values:
<> 156:95d6b41a828b 1455 * @arg @ref LL_RTC_WEEKDAY_MONDAY
<> 156:95d6b41a828b 1456 * @arg @ref LL_RTC_WEEKDAY_TUESDAY
<> 156:95d6b41a828b 1457 * @arg @ref LL_RTC_WEEKDAY_WEDNESDAY
<> 156:95d6b41a828b 1458 * @arg @ref LL_RTC_WEEKDAY_THURSDAY
<> 156:95d6b41a828b 1459 * @arg @ref LL_RTC_WEEKDAY_FRIDAY
<> 156:95d6b41a828b 1460 * @arg @ref LL_RTC_WEEKDAY_SATURDAY
<> 156:95d6b41a828b 1461 * @arg @ref LL_RTC_WEEKDAY_SUNDAY
<> 156:95d6b41a828b 1462 * @retval None
<> 156:95d6b41a828b 1463 */
<> 156:95d6b41a828b 1464 __STATIC_INLINE void LL_RTC_DATE_SetWeekDay(RTC_TypeDef *RTCx, uint32_t WeekDay)
<> 156:95d6b41a828b 1465 {
<> 156:95d6b41a828b 1466 MODIFY_REG(RTCx->DR, RTC_DR_WDU, WeekDay << RTC_POSITION_DR_WDU);
<> 156:95d6b41a828b 1467 }
<> 156:95d6b41a828b 1468
<> 156:95d6b41a828b 1469 /**
<> 156:95d6b41a828b 1470 * @brief Get Week day
<> 156:95d6b41a828b 1471 * @note if shadow mode is disabled (BYPSHAD=0), need to check if RSF flag is set
<> 156:95d6b41a828b 1472 * before reading this bit
<> 156:95d6b41a828b 1473 * @rmtoll DR WDU LL_RTC_DATE_GetWeekDay
<> 156:95d6b41a828b 1474 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1475 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 1476 * @arg @ref LL_RTC_WEEKDAY_MONDAY
<> 156:95d6b41a828b 1477 * @arg @ref LL_RTC_WEEKDAY_TUESDAY
<> 156:95d6b41a828b 1478 * @arg @ref LL_RTC_WEEKDAY_WEDNESDAY
<> 156:95d6b41a828b 1479 * @arg @ref LL_RTC_WEEKDAY_THURSDAY
<> 156:95d6b41a828b 1480 * @arg @ref LL_RTC_WEEKDAY_FRIDAY
<> 156:95d6b41a828b 1481 * @arg @ref LL_RTC_WEEKDAY_SATURDAY
<> 156:95d6b41a828b 1482 * @arg @ref LL_RTC_WEEKDAY_SUNDAY
<> 156:95d6b41a828b 1483 */
<> 156:95d6b41a828b 1484 __STATIC_INLINE uint32_t LL_RTC_DATE_GetWeekDay(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1485 {
<> 156:95d6b41a828b 1486 return (uint32_t)(READ_BIT(RTCx->DR, RTC_DR_WDU) >> RTC_POSITION_DR_WDU);
<> 156:95d6b41a828b 1487 }
<> 156:95d6b41a828b 1488
<> 156:95d6b41a828b 1489 /**
<> 156:95d6b41a828b 1490 * @brief Set Month in BCD format
<> 156:95d6b41a828b 1491 * @note helper macro __LL_RTC_CONVERT_BIN2BCD is available to convert Month from binary to BCD format
<> 156:95d6b41a828b 1492 * @rmtoll DR MT LL_RTC_DATE_SetMonth\n
<> 156:95d6b41a828b 1493 * DR MU LL_RTC_DATE_SetMonth
<> 156:95d6b41a828b 1494 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1495 * @param Month This parameter can be one of the following values:
<> 156:95d6b41a828b 1496 * @arg @ref LL_RTC_MONTH_JANUARY
<> 156:95d6b41a828b 1497 * @arg @ref LL_RTC_MONTH_FEBRUARY
<> 156:95d6b41a828b 1498 * @arg @ref LL_RTC_MONTH_MARCH
<> 156:95d6b41a828b 1499 * @arg @ref LL_RTC_MONTH_APRIL
<> 156:95d6b41a828b 1500 * @arg @ref LL_RTC_MONTH_MAY
<> 156:95d6b41a828b 1501 * @arg @ref LL_RTC_MONTH_JUNE
<> 156:95d6b41a828b 1502 * @arg @ref LL_RTC_MONTH_JULY
<> 156:95d6b41a828b 1503 * @arg @ref LL_RTC_MONTH_AUGUST
<> 156:95d6b41a828b 1504 * @arg @ref LL_RTC_MONTH_SEPTEMBER
<> 156:95d6b41a828b 1505 * @arg @ref LL_RTC_MONTH_OCTOBER
<> 156:95d6b41a828b 1506 * @arg @ref LL_RTC_MONTH_NOVEMBER
<> 156:95d6b41a828b 1507 * @arg @ref LL_RTC_MONTH_DECEMBER
<> 156:95d6b41a828b 1508 * @retval None
<> 156:95d6b41a828b 1509 */
<> 156:95d6b41a828b 1510 __STATIC_INLINE void LL_RTC_DATE_SetMonth(RTC_TypeDef *RTCx, uint32_t Month)
<> 156:95d6b41a828b 1511 {
<> 156:95d6b41a828b 1512 MODIFY_REG(RTCx->DR, (RTC_DR_MT | RTC_DR_MU),
<> 156:95d6b41a828b 1513 (((Month & 0xF0U) << (RTC_POSITION_DR_MT - 4U)) | ((Month & 0x0FU) << RTC_POSITION_DR_MU)));
<> 156:95d6b41a828b 1514 }
<> 156:95d6b41a828b 1515
<> 156:95d6b41a828b 1516 /**
<> 156:95d6b41a828b 1517 * @brief Get Month in BCD format
<> 156:95d6b41a828b 1518 * @note if shadow mode is disabled (BYPSHAD=0), need to check if RSF flag is set
<> 156:95d6b41a828b 1519 * before reading this bit
<> 156:95d6b41a828b 1520 * @note helper macro __LL_RTC_CONVERT_BCD2BIN is available to convert Month from BCD to Binary format
<> 156:95d6b41a828b 1521 * @rmtoll DR MT LL_RTC_DATE_GetMonth\n
<> 156:95d6b41a828b 1522 * DR MU LL_RTC_DATE_GetMonth
<> 156:95d6b41a828b 1523 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1524 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 1525 * @arg @ref LL_RTC_MONTH_JANUARY
<> 156:95d6b41a828b 1526 * @arg @ref LL_RTC_MONTH_FEBRUARY
<> 156:95d6b41a828b 1527 * @arg @ref LL_RTC_MONTH_MARCH
<> 156:95d6b41a828b 1528 * @arg @ref LL_RTC_MONTH_APRIL
<> 156:95d6b41a828b 1529 * @arg @ref LL_RTC_MONTH_MAY
<> 156:95d6b41a828b 1530 * @arg @ref LL_RTC_MONTH_JUNE
<> 156:95d6b41a828b 1531 * @arg @ref LL_RTC_MONTH_JULY
<> 156:95d6b41a828b 1532 * @arg @ref LL_RTC_MONTH_AUGUST
<> 156:95d6b41a828b 1533 * @arg @ref LL_RTC_MONTH_SEPTEMBER
<> 156:95d6b41a828b 1534 * @arg @ref LL_RTC_MONTH_OCTOBER
<> 156:95d6b41a828b 1535 * @arg @ref LL_RTC_MONTH_NOVEMBER
<> 156:95d6b41a828b 1536 * @arg @ref LL_RTC_MONTH_DECEMBER
<> 156:95d6b41a828b 1537 */
<> 156:95d6b41a828b 1538 __STATIC_INLINE uint32_t LL_RTC_DATE_GetMonth(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1539 {
<> 156:95d6b41a828b 1540 register uint32_t temp = 0U;
<> 156:95d6b41a828b 1541
<> 156:95d6b41a828b 1542 temp = READ_BIT(RTCx->DR, (RTC_DR_MT | RTC_DR_MU));
<> 156:95d6b41a828b 1543 return (uint32_t)((((temp & RTC_DR_MT) >> RTC_POSITION_DR_MT) << 4U) | ((temp & RTC_DR_MU) >> RTC_POSITION_DR_MU));
<> 156:95d6b41a828b 1544 }
<> 156:95d6b41a828b 1545
<> 156:95d6b41a828b 1546 /**
<> 156:95d6b41a828b 1547 * @brief Set Day in BCD format
<> 156:95d6b41a828b 1548 * @note helper macro __LL_RTC_CONVERT_BIN2BCD is available to convert Day from binary to BCD format
<> 156:95d6b41a828b 1549 * @rmtoll DR DT LL_RTC_DATE_SetDay\n
<> 156:95d6b41a828b 1550 * DR DU LL_RTC_DATE_SetDay
<> 156:95d6b41a828b 1551 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1552 * @param Day Value between Min_Data=0x01 and Max_Data=0x31
<> 156:95d6b41a828b 1553 * @retval None
<> 156:95d6b41a828b 1554 */
<> 156:95d6b41a828b 1555 __STATIC_INLINE void LL_RTC_DATE_SetDay(RTC_TypeDef *RTCx, uint32_t Day)
<> 156:95d6b41a828b 1556 {
<> 156:95d6b41a828b 1557 MODIFY_REG(RTCx->DR, (RTC_DR_DT | RTC_DR_DU),
<> 156:95d6b41a828b 1558 (((Day & 0xF0U) << (RTC_POSITION_DR_DT - 4U)) | ((Day & 0x0FU) << RTC_POSITION_DR_DU)));
<> 156:95d6b41a828b 1559 }
<> 156:95d6b41a828b 1560
<> 156:95d6b41a828b 1561 /**
<> 156:95d6b41a828b 1562 * @brief Get Day in BCD format
<> 156:95d6b41a828b 1563 * @note if shadow mode is disabled (BYPSHAD=0), need to check if RSF flag is set
<> 156:95d6b41a828b 1564 * before reading this bit
<> 156:95d6b41a828b 1565 * @note helper macro __LL_RTC_CONVERT_BCD2BIN is available to convert Day from BCD to Binary format
<> 156:95d6b41a828b 1566 * @rmtoll DR DT LL_RTC_DATE_GetDay\n
<> 156:95d6b41a828b 1567 * DR DU LL_RTC_DATE_GetDay
<> 156:95d6b41a828b 1568 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1569 * @retval Value between Min_Data=0x01 and Max_Data=0x31
<> 156:95d6b41a828b 1570 */
<> 156:95d6b41a828b 1571 __STATIC_INLINE uint32_t LL_RTC_DATE_GetDay(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1572 {
<> 156:95d6b41a828b 1573 register uint32_t temp = 0U;
<> 156:95d6b41a828b 1574
<> 156:95d6b41a828b 1575 temp = READ_BIT(RTCx->DR, (RTC_DR_DT | RTC_DR_DU));
<> 156:95d6b41a828b 1576 return (uint32_t)((((temp & RTC_DR_DT) >> RTC_POSITION_DR_DT) << 4U) | ((temp & RTC_DR_DU) >> RTC_POSITION_DR_DU));
<> 156:95d6b41a828b 1577 }
<> 156:95d6b41a828b 1578
<> 156:95d6b41a828b 1579 /**
<> 156:95d6b41a828b 1580 * @brief Set date (WeekDay, Day, Month and Year) in BCD format
<> 156:95d6b41a828b 1581 * @rmtoll DR WDU LL_RTC_DATE_Config\n
<> 156:95d6b41a828b 1582 * DR MT LL_RTC_DATE_Config\n
<> 156:95d6b41a828b 1583 * DR MU LL_RTC_DATE_Config\n
<> 156:95d6b41a828b 1584 * DR DT LL_RTC_DATE_Config\n
<> 156:95d6b41a828b 1585 * DR DU LL_RTC_DATE_Config\n
<> 156:95d6b41a828b 1586 * DR YT LL_RTC_DATE_Config\n
<> 156:95d6b41a828b 1587 * DR YU LL_RTC_DATE_Config
<> 156:95d6b41a828b 1588 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1589 * @param WeekDay This parameter can be one of the following values:
<> 156:95d6b41a828b 1590 * @arg @ref LL_RTC_WEEKDAY_MONDAY
<> 156:95d6b41a828b 1591 * @arg @ref LL_RTC_WEEKDAY_TUESDAY
<> 156:95d6b41a828b 1592 * @arg @ref LL_RTC_WEEKDAY_WEDNESDAY
<> 156:95d6b41a828b 1593 * @arg @ref LL_RTC_WEEKDAY_THURSDAY
<> 156:95d6b41a828b 1594 * @arg @ref LL_RTC_WEEKDAY_FRIDAY
<> 156:95d6b41a828b 1595 * @arg @ref LL_RTC_WEEKDAY_SATURDAY
<> 156:95d6b41a828b 1596 * @arg @ref LL_RTC_WEEKDAY_SUNDAY
<> 156:95d6b41a828b 1597 * @param Day Value between Min_Data=0x01 and Max_Data=0x31
<> 156:95d6b41a828b 1598 * @param Month This parameter can be one of the following values:
<> 156:95d6b41a828b 1599 * @arg @ref LL_RTC_MONTH_JANUARY
<> 156:95d6b41a828b 1600 * @arg @ref LL_RTC_MONTH_FEBRUARY
<> 156:95d6b41a828b 1601 * @arg @ref LL_RTC_MONTH_MARCH
<> 156:95d6b41a828b 1602 * @arg @ref LL_RTC_MONTH_APRIL
<> 156:95d6b41a828b 1603 * @arg @ref LL_RTC_MONTH_MAY
<> 156:95d6b41a828b 1604 * @arg @ref LL_RTC_MONTH_JUNE
<> 156:95d6b41a828b 1605 * @arg @ref LL_RTC_MONTH_JULY
<> 156:95d6b41a828b 1606 * @arg @ref LL_RTC_MONTH_AUGUST
<> 156:95d6b41a828b 1607 * @arg @ref LL_RTC_MONTH_SEPTEMBER
<> 156:95d6b41a828b 1608 * @arg @ref LL_RTC_MONTH_OCTOBER
<> 156:95d6b41a828b 1609 * @arg @ref LL_RTC_MONTH_NOVEMBER
<> 156:95d6b41a828b 1610 * @arg @ref LL_RTC_MONTH_DECEMBER
<> 156:95d6b41a828b 1611 * @param Year Value between Min_Data=0x00 and Max_Data=0x99
<> 156:95d6b41a828b 1612 * @retval None
<> 156:95d6b41a828b 1613 */
<> 156:95d6b41a828b 1614 __STATIC_INLINE void LL_RTC_DATE_Config(RTC_TypeDef *RTCx, uint32_t WeekDay, uint32_t Day, uint32_t Month, uint32_t Year)
<> 156:95d6b41a828b 1615 {
<> 156:95d6b41a828b 1616 register uint32_t temp = 0U;
<> 156:95d6b41a828b 1617
<> 156:95d6b41a828b 1618 temp = (WeekDay << RTC_POSITION_DR_WDU) | \
<> 156:95d6b41a828b 1619 (((Year & 0xF0U) << (RTC_POSITION_DR_YT - 4U)) | ((Year & 0x0FU) << RTC_POSITION_DR_YU)) | \
<> 156:95d6b41a828b 1620 (((Month & 0xF0U) << (RTC_POSITION_DR_MT - 4U)) | ((Month & 0x0FU) << RTC_POSITION_DR_MU)) | \
<> 156:95d6b41a828b 1621 (((Day & 0xF0U) << (RTC_POSITION_DR_DT - 4U)) | ((Day & 0x0FU) << RTC_POSITION_DR_DU));
<> 156:95d6b41a828b 1622
<> 156:95d6b41a828b 1623 MODIFY_REG(RTCx->DR, (RTC_DR_WDU | RTC_DR_MT | RTC_DR_MU | RTC_DR_DT | RTC_DR_DU | RTC_DR_YT | RTC_DR_YU), temp);
<> 156:95d6b41a828b 1624 }
<> 156:95d6b41a828b 1625
<> 156:95d6b41a828b 1626 /**
<> 156:95d6b41a828b 1627 * @brief Get date (WeekDay, Day, Month and Year) in BCD format
<> 156:95d6b41a828b 1628 * @note if shadow mode is disabled (BYPSHAD=0), need to check if RSF flag is set
<> 156:95d6b41a828b 1629 * before reading this bit
<> 156:95d6b41a828b 1630 * @note helper macros __LL_RTC_GET_WEEKDAY, __LL_RTC_GET_YEAR, __LL_RTC_GET_MONTH,
<> 156:95d6b41a828b 1631 * and __LL_RTC_GET_DAY are available to get independently each parameter.
<> 156:95d6b41a828b 1632 * @rmtoll DR WDU LL_RTC_DATE_Get\n
<> 156:95d6b41a828b 1633 * DR MT LL_RTC_DATE_Get\n
<> 156:95d6b41a828b 1634 * DR MU LL_RTC_DATE_Get\n
<> 156:95d6b41a828b 1635 * DR DT LL_RTC_DATE_Get\n
<> 156:95d6b41a828b 1636 * DR DU LL_RTC_DATE_Get\n
<> 156:95d6b41a828b 1637 * DR YT LL_RTC_DATE_Get\n
<> 156:95d6b41a828b 1638 * DR YU LL_RTC_DATE_Get
<> 156:95d6b41a828b 1639 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1640 * @retval Combination of WeekDay, Day, Month and Year (Format: 0xWWDDMMYY).
<> 156:95d6b41a828b 1641 */
<> 156:95d6b41a828b 1642 __STATIC_INLINE uint32_t LL_RTC_DATE_Get(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1643 {
<> 156:95d6b41a828b 1644 return (uint32_t)((LL_RTC_DATE_GetWeekDay(RTCx) << RTC_OFFSET_WEEKDAY) | (LL_RTC_DATE_GetDay(RTCx) << RTC_OFFSET_DAY) | (LL_RTC_DATE_GetMonth(RTCx) << RTC_OFFSET_MONTH) | LL_RTC_DATE_GetYear(RTCx));
<> 156:95d6b41a828b 1645 }
<> 156:95d6b41a828b 1646
<> 156:95d6b41a828b 1647 /**
<> 156:95d6b41a828b 1648 * @}
<> 156:95d6b41a828b 1649 */
<> 156:95d6b41a828b 1650
<> 156:95d6b41a828b 1651 /** @defgroup RTC_LL_EF_ALARMA ALARMA
<> 156:95d6b41a828b 1652 * @{
<> 156:95d6b41a828b 1653 */
<> 156:95d6b41a828b 1654
<> 156:95d6b41a828b 1655 /**
<> 156:95d6b41a828b 1656 * @brief Enable Alarm A
<> 156:95d6b41a828b 1657 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 1658 * @rmtoll CR ALRAE LL_RTC_ALMA_Enable
<> 156:95d6b41a828b 1659 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1660 * @retval None
<> 156:95d6b41a828b 1661 */
<> 156:95d6b41a828b 1662 __STATIC_INLINE void LL_RTC_ALMA_Enable(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1663 {
<> 156:95d6b41a828b 1664 SET_BIT(RTCx->CR, RTC_CR_ALRAE);
<> 156:95d6b41a828b 1665 }
<> 156:95d6b41a828b 1666
<> 156:95d6b41a828b 1667 /**
<> 156:95d6b41a828b 1668 * @brief Disable Alarm A
<> 156:95d6b41a828b 1669 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 1670 * @rmtoll CR ALRAE LL_RTC_ALMA_Disable
<> 156:95d6b41a828b 1671 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1672 * @retval None
<> 156:95d6b41a828b 1673 */
<> 156:95d6b41a828b 1674 __STATIC_INLINE void LL_RTC_ALMA_Disable(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1675 {
<> 156:95d6b41a828b 1676 CLEAR_BIT(RTCx->CR, RTC_CR_ALRAE);
<> 156:95d6b41a828b 1677 }
<> 156:95d6b41a828b 1678
<> 156:95d6b41a828b 1679 /**
<> 156:95d6b41a828b 1680 * @brief Specify the Alarm A masks.
<> 156:95d6b41a828b 1681 * @rmtoll ALRMAR MSK4 LL_RTC_ALMA_SetMask\n
<> 156:95d6b41a828b 1682 * ALRMAR MSK3 LL_RTC_ALMA_SetMask\n
<> 156:95d6b41a828b 1683 * ALRMAR MSK2 LL_RTC_ALMA_SetMask\n
<> 156:95d6b41a828b 1684 * ALRMAR MSK1 LL_RTC_ALMA_SetMask
<> 156:95d6b41a828b 1685 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1686 * @param Mask This parameter can be a combination of the following values:
<> 156:95d6b41a828b 1687 * @arg @ref LL_RTC_ALMA_MASK_NONE
<> 156:95d6b41a828b 1688 * @arg @ref LL_RTC_ALMA_MASK_DATEWEEKDAY
<> 156:95d6b41a828b 1689 * @arg @ref LL_RTC_ALMA_MASK_HOURS
<> 156:95d6b41a828b 1690 * @arg @ref LL_RTC_ALMA_MASK_MINUTES
<> 156:95d6b41a828b 1691 * @arg @ref LL_RTC_ALMA_MASK_SECONDS
<> 156:95d6b41a828b 1692 * @arg @ref LL_RTC_ALMA_MASK_ALL
<> 156:95d6b41a828b 1693 * @retval None
<> 156:95d6b41a828b 1694 */
<> 156:95d6b41a828b 1695 __STATIC_INLINE void LL_RTC_ALMA_SetMask(RTC_TypeDef *RTCx, uint32_t Mask)
<> 156:95d6b41a828b 1696 {
<> 156:95d6b41a828b 1697 MODIFY_REG(RTCx->ALRMAR, RTC_ALRMAR_MSK4 | RTC_ALRMAR_MSK3 | RTC_ALRMAR_MSK2 | RTC_ALRMAR_MSK1, Mask);
<> 156:95d6b41a828b 1698 }
<> 156:95d6b41a828b 1699
<> 156:95d6b41a828b 1700 /**
<> 156:95d6b41a828b 1701 * @brief Get the Alarm A masks.
<> 156:95d6b41a828b 1702 * @rmtoll ALRMAR MSK4 LL_RTC_ALMA_GetMask\n
<> 156:95d6b41a828b 1703 * ALRMAR MSK3 LL_RTC_ALMA_GetMask\n
<> 156:95d6b41a828b 1704 * ALRMAR MSK2 LL_RTC_ALMA_GetMask\n
<> 156:95d6b41a828b 1705 * ALRMAR MSK1 LL_RTC_ALMA_GetMask
<> 156:95d6b41a828b 1706 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1707 * @retval Returned value can be can be a combination of the following values:
<> 156:95d6b41a828b 1708 * @arg @ref LL_RTC_ALMA_MASK_NONE
<> 156:95d6b41a828b 1709 * @arg @ref LL_RTC_ALMA_MASK_DATEWEEKDAY
<> 156:95d6b41a828b 1710 * @arg @ref LL_RTC_ALMA_MASK_HOURS
<> 156:95d6b41a828b 1711 * @arg @ref LL_RTC_ALMA_MASK_MINUTES
<> 156:95d6b41a828b 1712 * @arg @ref LL_RTC_ALMA_MASK_SECONDS
<> 156:95d6b41a828b 1713 * @arg @ref LL_RTC_ALMA_MASK_ALL
<> 156:95d6b41a828b 1714 */
<> 156:95d6b41a828b 1715 __STATIC_INLINE uint32_t LL_RTC_ALMA_GetMask(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1716 {
<> 156:95d6b41a828b 1717 return (uint32_t)(READ_BIT(RTCx->ALRMAR, RTC_ALRMAR_MSK4 | RTC_ALRMAR_MSK3 | RTC_ALRMAR_MSK2 | RTC_ALRMAR_MSK1));
<> 156:95d6b41a828b 1718 }
<> 156:95d6b41a828b 1719
<> 156:95d6b41a828b 1720 /**
<> 156:95d6b41a828b 1721 * @brief Enable AlarmA Week day selection (DU[3:0] represents the week day. DT[1:0] is do not care)
<> 156:95d6b41a828b 1722 * @rmtoll ALRMAR WDSEL LL_RTC_ALMA_EnableWeekday
<> 156:95d6b41a828b 1723 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1724 * @retval None
<> 156:95d6b41a828b 1725 */
<> 156:95d6b41a828b 1726 __STATIC_INLINE void LL_RTC_ALMA_EnableWeekday(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1727 {
<> 156:95d6b41a828b 1728 SET_BIT(RTCx->ALRMAR, RTC_ALRMAR_WDSEL);
<> 156:95d6b41a828b 1729 }
<> 156:95d6b41a828b 1730
<> 156:95d6b41a828b 1731 /**
<> 156:95d6b41a828b 1732 * @brief Disable AlarmA Week day selection (DU[3:0] represents the date )
<> 156:95d6b41a828b 1733 * @rmtoll ALRMAR WDSEL LL_RTC_ALMA_DisableWeekday
<> 156:95d6b41a828b 1734 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1735 * @retval None
<> 156:95d6b41a828b 1736 */
<> 156:95d6b41a828b 1737 __STATIC_INLINE void LL_RTC_ALMA_DisableWeekday(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1738 {
<> 156:95d6b41a828b 1739 CLEAR_BIT(RTCx->ALRMAR, RTC_ALRMAR_WDSEL);
<> 156:95d6b41a828b 1740 }
<> 156:95d6b41a828b 1741
<> 156:95d6b41a828b 1742 /**
<> 156:95d6b41a828b 1743 * @brief Set ALARM A Day in BCD format
<> 156:95d6b41a828b 1744 * @note helper macro __LL_RTC_CONVERT_BIN2BCD is available to convert Day from binary to BCD format
<> 156:95d6b41a828b 1745 * @rmtoll ALRMAR DT LL_RTC_ALMA_SetDay\n
<> 156:95d6b41a828b 1746 * ALRMAR DU LL_RTC_ALMA_SetDay
<> 156:95d6b41a828b 1747 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1748 * @param Day Value between Min_Data=0x01 and Max_Data=0x31
<> 156:95d6b41a828b 1749 * @retval None
<> 156:95d6b41a828b 1750 */
<> 156:95d6b41a828b 1751 __STATIC_INLINE void LL_RTC_ALMA_SetDay(RTC_TypeDef *RTCx, uint32_t Day)
<> 156:95d6b41a828b 1752 {
<> 156:95d6b41a828b 1753 MODIFY_REG(RTCx->ALRMAR, (RTC_ALRMAR_DT | RTC_ALRMAR_DU),
<> 156:95d6b41a828b 1754 (((Day & 0xF0U) << (RTC_POSITION_ALMA_DT - 4U)) | ((Day & 0x0FU) << RTC_POSITION_ALMA_DU)));
<> 156:95d6b41a828b 1755 }
<> 156:95d6b41a828b 1756
<> 156:95d6b41a828b 1757 /**
<> 156:95d6b41a828b 1758 * @brief Get ALARM A Day in BCD format
<> 156:95d6b41a828b 1759 * @note helper macro __LL_RTC_CONVERT_BCD2BIN is available to convert Day from BCD to Binary format
<> 156:95d6b41a828b 1760 * @rmtoll ALRMAR DT LL_RTC_ALMA_GetDay\n
<> 156:95d6b41a828b 1761 * ALRMAR DU LL_RTC_ALMA_GetDay
<> 156:95d6b41a828b 1762 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1763 * @retval Value between Min_Data=0x01 and Max_Data=0x31
<> 156:95d6b41a828b 1764 */
<> 156:95d6b41a828b 1765 __STATIC_INLINE uint32_t LL_RTC_ALMA_GetDay(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1766 {
<> 156:95d6b41a828b 1767 register uint32_t temp = 0U;
<> 156:95d6b41a828b 1768
<> 156:95d6b41a828b 1769 temp = READ_BIT(RTCx->ALRMAR, (RTC_ALRMAR_DT | RTC_ALRMAR_DU));
<> 156:95d6b41a828b 1770 return (uint32_t)((((temp & RTC_ALRMAR_DT) >> RTC_POSITION_ALMA_DT) << 4U) | ((temp & RTC_ALRMAR_DU) >> RTC_POSITION_ALMA_DU));
<> 156:95d6b41a828b 1771 }
<> 156:95d6b41a828b 1772
<> 156:95d6b41a828b 1773 /**
<> 156:95d6b41a828b 1774 * @brief Set ALARM A Weekday
<> 156:95d6b41a828b 1775 * @rmtoll ALRMAR DU LL_RTC_ALMA_SetWeekDay
<> 156:95d6b41a828b 1776 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1777 * @param WeekDay This parameter can be one of the following values:
<> 156:95d6b41a828b 1778 * @arg @ref LL_RTC_WEEKDAY_MONDAY
<> 156:95d6b41a828b 1779 * @arg @ref LL_RTC_WEEKDAY_TUESDAY
<> 156:95d6b41a828b 1780 * @arg @ref LL_RTC_WEEKDAY_WEDNESDAY
<> 156:95d6b41a828b 1781 * @arg @ref LL_RTC_WEEKDAY_THURSDAY
<> 156:95d6b41a828b 1782 * @arg @ref LL_RTC_WEEKDAY_FRIDAY
<> 156:95d6b41a828b 1783 * @arg @ref LL_RTC_WEEKDAY_SATURDAY
<> 156:95d6b41a828b 1784 * @arg @ref LL_RTC_WEEKDAY_SUNDAY
<> 156:95d6b41a828b 1785 * @retval None
<> 156:95d6b41a828b 1786 */
<> 156:95d6b41a828b 1787 __STATIC_INLINE void LL_RTC_ALMA_SetWeekDay(RTC_TypeDef *RTCx, uint32_t WeekDay)
<> 156:95d6b41a828b 1788 {
<> 156:95d6b41a828b 1789 MODIFY_REG(RTCx->ALRMAR, RTC_ALRMAR_DU, WeekDay << RTC_POSITION_ALMA_DU);
<> 156:95d6b41a828b 1790 }
<> 156:95d6b41a828b 1791
<> 156:95d6b41a828b 1792 /**
<> 156:95d6b41a828b 1793 * @brief Get ALARM A Weekday
<> 156:95d6b41a828b 1794 * @rmtoll ALRMAR DU LL_RTC_ALMA_GetWeekDay
<> 156:95d6b41a828b 1795 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1796 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 1797 * @arg @ref LL_RTC_WEEKDAY_MONDAY
<> 156:95d6b41a828b 1798 * @arg @ref LL_RTC_WEEKDAY_TUESDAY
<> 156:95d6b41a828b 1799 * @arg @ref LL_RTC_WEEKDAY_WEDNESDAY
<> 156:95d6b41a828b 1800 * @arg @ref LL_RTC_WEEKDAY_THURSDAY
<> 156:95d6b41a828b 1801 * @arg @ref LL_RTC_WEEKDAY_FRIDAY
<> 156:95d6b41a828b 1802 * @arg @ref LL_RTC_WEEKDAY_SATURDAY
<> 156:95d6b41a828b 1803 * @arg @ref LL_RTC_WEEKDAY_SUNDAY
<> 156:95d6b41a828b 1804 */
<> 156:95d6b41a828b 1805 __STATIC_INLINE uint32_t LL_RTC_ALMA_GetWeekDay(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1806 {
<> 156:95d6b41a828b 1807 return (uint32_t)(READ_BIT(RTCx->ALRMAR, RTC_ALRMAR_DU) >> RTC_POSITION_ALMA_DU);
<> 156:95d6b41a828b 1808 }
<> 156:95d6b41a828b 1809
<> 156:95d6b41a828b 1810 /**
<> 156:95d6b41a828b 1811 * @brief Set Alarm A time format (AM/24-hour or PM notation)
<> 156:95d6b41a828b 1812 * @rmtoll ALRMAR PM LL_RTC_ALMA_SetTimeFormat
<> 156:95d6b41a828b 1813 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1814 * @param TimeFormat This parameter can be one of the following values:
<> 156:95d6b41a828b 1815 * @arg @ref LL_RTC_ALMA_TIME_FORMAT_AM
<> 156:95d6b41a828b 1816 * @arg @ref LL_RTC_ALMA_TIME_FORMAT_PM
<> 156:95d6b41a828b 1817 * @retval None
<> 156:95d6b41a828b 1818 */
<> 156:95d6b41a828b 1819 __STATIC_INLINE void LL_RTC_ALMA_SetTimeFormat(RTC_TypeDef *RTCx, uint32_t TimeFormat)
<> 156:95d6b41a828b 1820 {
<> 156:95d6b41a828b 1821 MODIFY_REG(RTCx->ALRMAR, RTC_ALRMAR_PM, TimeFormat);
<> 156:95d6b41a828b 1822 }
<> 156:95d6b41a828b 1823
<> 156:95d6b41a828b 1824 /**
<> 156:95d6b41a828b 1825 * @brief Get Alarm A time format (AM or PM notation)
<> 156:95d6b41a828b 1826 * @rmtoll ALRMAR PM LL_RTC_ALMA_GetTimeFormat
<> 156:95d6b41a828b 1827 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1828 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 1829 * @arg @ref LL_RTC_ALMA_TIME_FORMAT_AM
<> 156:95d6b41a828b 1830 * @arg @ref LL_RTC_ALMA_TIME_FORMAT_PM
<> 156:95d6b41a828b 1831 */
<> 156:95d6b41a828b 1832 __STATIC_INLINE uint32_t LL_RTC_ALMA_GetTimeFormat(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1833 {
<> 156:95d6b41a828b 1834 return (uint32_t)(READ_BIT(RTCx->ALRMAR, RTC_ALRMAR_PM));
<> 156:95d6b41a828b 1835 }
<> 156:95d6b41a828b 1836
<> 156:95d6b41a828b 1837 /**
<> 156:95d6b41a828b 1838 * @brief Set ALARM A Hours in BCD format
<> 156:95d6b41a828b 1839 * @note helper macro __LL_RTC_CONVERT_BIN2BCD is available to convert Hours from binary to BCD format
<> 156:95d6b41a828b 1840 * @rmtoll ALRMAR HT LL_RTC_ALMA_SetHour\n
<> 156:95d6b41a828b 1841 * ALRMAR HU LL_RTC_ALMA_SetHour
<> 156:95d6b41a828b 1842 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1843 * @param Hours Value between Min_Data=0x01 and Max_Data=0x12 or between Min_Data=0x00 and Max_Data=0x23
<> 156:95d6b41a828b 1844 * @retval None
<> 156:95d6b41a828b 1845 */
<> 156:95d6b41a828b 1846 __STATIC_INLINE void LL_RTC_ALMA_SetHour(RTC_TypeDef *RTCx, uint32_t Hours)
<> 156:95d6b41a828b 1847 {
<> 156:95d6b41a828b 1848 MODIFY_REG(RTCx->ALRMAR, (RTC_ALRMAR_HT | RTC_ALRMAR_HU),
<> 156:95d6b41a828b 1849 (((Hours & 0xF0U) << (RTC_POSITION_ALMA_HT - 4U)) | ((Hours & 0x0FU) << RTC_POSITION_ALMA_HU)));
<> 156:95d6b41a828b 1850 }
<> 156:95d6b41a828b 1851
<> 156:95d6b41a828b 1852 /**
<> 156:95d6b41a828b 1853 * @brief Get ALARM A Hours in BCD format
<> 156:95d6b41a828b 1854 * @note helper macro __LL_RTC_CONVERT_BCD2BIN is available to convert Hours from BCD to Binary format
<> 156:95d6b41a828b 1855 * @rmtoll ALRMAR HT LL_RTC_ALMA_GetHour\n
<> 156:95d6b41a828b 1856 * ALRMAR HU LL_RTC_ALMA_GetHour
<> 156:95d6b41a828b 1857 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1858 * @retval Value between Min_Data=0x01 and Max_Data=0x12 or between Min_Data=0x00 and Max_Data=0x23
<> 156:95d6b41a828b 1859 */
<> 156:95d6b41a828b 1860 __STATIC_INLINE uint32_t LL_RTC_ALMA_GetHour(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1861 {
<> 156:95d6b41a828b 1862 register uint32_t temp = 0U;
<> 156:95d6b41a828b 1863
<> 156:95d6b41a828b 1864 temp = READ_BIT(RTCx->ALRMAR, (RTC_ALRMAR_HT | RTC_ALRMAR_HU));
<> 156:95d6b41a828b 1865 return (uint32_t)((((temp & RTC_ALRMAR_HT) >> RTC_POSITION_ALMA_HT) << 4U) | ((temp & RTC_ALRMAR_HU) >> RTC_POSITION_ALMA_HU));
<> 156:95d6b41a828b 1866 }
<> 156:95d6b41a828b 1867
<> 156:95d6b41a828b 1868 /**
<> 156:95d6b41a828b 1869 * @brief Set ALARM A Minutes in BCD format
<> 156:95d6b41a828b 1870 * @note helper macro __LL_RTC_CONVERT_BIN2BCD is available to convert Minutes from binary to BCD format
<> 156:95d6b41a828b 1871 * @rmtoll ALRMAR MNT LL_RTC_ALMA_SetMinute\n
<> 156:95d6b41a828b 1872 * ALRMAR MNU LL_RTC_ALMA_SetMinute
<> 156:95d6b41a828b 1873 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1874 * @param Minutes Value between Min_Data=0x00 and Max_Data=0x59
<> 156:95d6b41a828b 1875 * @retval None
<> 156:95d6b41a828b 1876 */
<> 156:95d6b41a828b 1877 __STATIC_INLINE void LL_RTC_ALMA_SetMinute(RTC_TypeDef *RTCx, uint32_t Minutes)
<> 156:95d6b41a828b 1878 {
<> 156:95d6b41a828b 1879 MODIFY_REG(RTCx->ALRMAR, (RTC_ALRMAR_MNT | RTC_ALRMAR_MNU),
<> 156:95d6b41a828b 1880 (((Minutes & 0xF0U) << (RTC_POSITION_ALMA_MT - 4U)) | ((Minutes & 0x0FU) << RTC_POSITION_ALMA_MU)));
<> 156:95d6b41a828b 1881 }
<> 156:95d6b41a828b 1882
<> 156:95d6b41a828b 1883 /**
<> 156:95d6b41a828b 1884 * @brief Get ALARM A Minutes in BCD format
<> 156:95d6b41a828b 1885 * @note helper macro __LL_RTC_CONVERT_BCD2BIN is available to convert Minutes from BCD to Binary format
<> 156:95d6b41a828b 1886 * @rmtoll ALRMAR MNT LL_RTC_ALMA_GetMinute\n
<> 156:95d6b41a828b 1887 * ALRMAR MNU LL_RTC_ALMA_GetMinute
<> 156:95d6b41a828b 1888 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1889 * @retval Value between Min_Data=0x00 and Max_Data=0x59
<> 156:95d6b41a828b 1890 */
<> 156:95d6b41a828b 1891 __STATIC_INLINE uint32_t LL_RTC_ALMA_GetMinute(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1892 {
<> 156:95d6b41a828b 1893 register uint32_t temp = 0U;
<> 156:95d6b41a828b 1894
<> 156:95d6b41a828b 1895 temp = READ_BIT(RTCx->ALRMAR, (RTC_ALRMAR_MNT | RTC_ALRMAR_MNU));
<> 156:95d6b41a828b 1896 return (uint32_t)((((temp & RTC_ALRMAR_MNT) >> RTC_POSITION_ALMA_MT) << 4U) | ((temp & RTC_ALRMAR_MNU) >> RTC_POSITION_ALMA_MU));
<> 156:95d6b41a828b 1897 }
<> 156:95d6b41a828b 1898
<> 156:95d6b41a828b 1899 /**
<> 156:95d6b41a828b 1900 * @brief Set ALARM A Seconds in BCD format
<> 156:95d6b41a828b 1901 * @note helper macro __LL_RTC_CONVERT_BIN2BCD is available to convert Seconds from binary to BCD format
<> 156:95d6b41a828b 1902 * @rmtoll ALRMAR ST LL_RTC_ALMA_SetSecond\n
<> 156:95d6b41a828b 1903 * ALRMAR SU LL_RTC_ALMA_SetSecond
<> 156:95d6b41a828b 1904 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1905 * @param Seconds Value between Min_Data=0x00 and Max_Data=0x59
<> 156:95d6b41a828b 1906 * @retval None
<> 156:95d6b41a828b 1907 */
<> 156:95d6b41a828b 1908 __STATIC_INLINE void LL_RTC_ALMA_SetSecond(RTC_TypeDef *RTCx, uint32_t Seconds)
<> 156:95d6b41a828b 1909 {
<> 156:95d6b41a828b 1910 MODIFY_REG(RTCx->ALRMAR, (RTC_ALRMAR_ST | RTC_ALRMAR_SU),
<> 156:95d6b41a828b 1911 (((Seconds & 0xF0U) << (RTC_POSITION_ALMA_ST - 4U)) | ((Seconds & 0x0FU) << RTC_POSITION_ALMA_SU)));
<> 156:95d6b41a828b 1912 }
<> 156:95d6b41a828b 1913
<> 156:95d6b41a828b 1914 /**
<> 156:95d6b41a828b 1915 * @brief Get ALARM A Seconds in BCD format
<> 156:95d6b41a828b 1916 * @note helper macro __LL_RTC_CONVERT_BCD2BIN is available to convert Seconds from BCD to Binary format
<> 156:95d6b41a828b 1917 * @rmtoll ALRMAR ST LL_RTC_ALMA_GetSecond\n
<> 156:95d6b41a828b 1918 * ALRMAR SU LL_RTC_ALMA_GetSecond
<> 156:95d6b41a828b 1919 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1920 * @retval Value between Min_Data=0x00 and Max_Data=0x59
<> 156:95d6b41a828b 1921 */
<> 156:95d6b41a828b 1922 __STATIC_INLINE uint32_t LL_RTC_ALMA_GetSecond(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1923 {
<> 156:95d6b41a828b 1924 register uint32_t temp = 0U;
<> 156:95d6b41a828b 1925
<> 156:95d6b41a828b 1926 temp = READ_BIT(RTCx->ALRMAR, (RTC_ALRMAR_ST | RTC_ALRMAR_SU));
<> 156:95d6b41a828b 1927 return (uint32_t)((((temp & RTC_ALRMAR_ST) >> RTC_POSITION_ALMA_ST) << 4U) | ((temp & RTC_ALRMAR_SU) >> RTC_POSITION_ALMA_SU));
<> 156:95d6b41a828b 1928 }
<> 156:95d6b41a828b 1929
<> 156:95d6b41a828b 1930 /**
<> 156:95d6b41a828b 1931 * @brief Set Alarm A Time (hour, minute and second) in BCD format
<> 156:95d6b41a828b 1932 * @rmtoll ALRMAR PM LL_RTC_ALMA_ConfigTime\n
<> 156:95d6b41a828b 1933 * ALRMAR HT LL_RTC_ALMA_ConfigTime\n
<> 156:95d6b41a828b 1934 * ALRMAR HU LL_RTC_ALMA_ConfigTime\n
<> 156:95d6b41a828b 1935 * ALRMAR MNT LL_RTC_ALMA_ConfigTime\n
<> 156:95d6b41a828b 1936 * ALRMAR MNU LL_RTC_ALMA_ConfigTime\n
<> 156:95d6b41a828b 1937 * ALRMAR ST LL_RTC_ALMA_ConfigTime\n
<> 156:95d6b41a828b 1938 * ALRMAR SU LL_RTC_ALMA_ConfigTime
<> 156:95d6b41a828b 1939 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1940 * @param Format12_24 This parameter can be one of the following values:
<> 156:95d6b41a828b 1941 * @arg @ref LL_RTC_ALMA_TIME_FORMAT_AM
<> 156:95d6b41a828b 1942 * @arg @ref LL_RTC_ALMA_TIME_FORMAT_PM
<> 156:95d6b41a828b 1943 * @param Hours Value between Min_Data=0x01 and Max_Data=0x12 or between Min_Data=0x00 and Max_Data=0x23
<> 156:95d6b41a828b 1944 * @param Minutes Value between Min_Data=0x00 and Max_Data=0x59
<> 156:95d6b41a828b 1945 * @param Seconds Value between Min_Data=0x00 and Max_Data=0x59
<> 156:95d6b41a828b 1946 * @retval None
<> 156:95d6b41a828b 1947 */
<> 156:95d6b41a828b 1948 __STATIC_INLINE void LL_RTC_ALMA_ConfigTime(RTC_TypeDef *RTCx, uint32_t Format12_24, uint32_t Hours, uint32_t Minutes, uint32_t Seconds)
<> 156:95d6b41a828b 1949 {
<> 156:95d6b41a828b 1950 register uint32_t temp = 0U;
<> 156:95d6b41a828b 1951
<> 156:95d6b41a828b 1952 temp = Format12_24 | (((Hours & 0xF0U) << (RTC_POSITION_ALMA_HT - 4U)) | ((Hours & 0x0FU) << RTC_POSITION_ALMA_HU)) | \
<> 156:95d6b41a828b 1953 (((Minutes & 0xF0U) << (RTC_POSITION_ALMA_MT - 4U)) | ((Minutes & 0x0FU) << RTC_POSITION_ALMA_MU)) | \
<> 156:95d6b41a828b 1954 (((Seconds & 0xF0U) << (RTC_POSITION_ALMA_ST - 4U)) | ((Seconds & 0x0FU) << RTC_POSITION_ALMA_SU));
<> 156:95d6b41a828b 1955
<> 156:95d6b41a828b 1956 MODIFY_REG(RTCx->ALRMAR, RTC_ALRMAR_PM | RTC_ALRMAR_HT | RTC_ALRMAR_HU | RTC_ALRMAR_MNT | RTC_ALRMAR_MNU | RTC_ALRMAR_ST | RTC_ALRMAR_SU, temp);
<> 156:95d6b41a828b 1957 }
<> 156:95d6b41a828b 1958
<> 156:95d6b41a828b 1959 /**
<> 156:95d6b41a828b 1960 * @brief Get Alarm B Time (hour, minute and second) in BCD format
<> 156:95d6b41a828b 1961 * @note helper macros __LL_RTC_GET_HOUR, __LL_RTC_GET_MINUTE and __LL_RTC_GET_SECOND
<> 156:95d6b41a828b 1962 * are available to get independently each parameter.
<> 156:95d6b41a828b 1963 * @rmtoll ALRMAR HT LL_RTC_ALMA_GetTime\n
<> 156:95d6b41a828b 1964 * ALRMAR HU LL_RTC_ALMA_GetTime\n
<> 156:95d6b41a828b 1965 * ALRMAR MNT LL_RTC_ALMA_GetTime\n
<> 156:95d6b41a828b 1966 * ALRMAR MNU LL_RTC_ALMA_GetTime\n
<> 156:95d6b41a828b 1967 * ALRMAR ST LL_RTC_ALMA_GetTime\n
<> 156:95d6b41a828b 1968 * ALRMAR SU LL_RTC_ALMA_GetTime
<> 156:95d6b41a828b 1969 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1970 * @retval Combination of hours, minutes and seconds.
<> 156:95d6b41a828b 1971 */
<> 156:95d6b41a828b 1972 __STATIC_INLINE uint32_t LL_RTC_ALMA_GetTime(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1973 {
<> 156:95d6b41a828b 1974 return (uint32_t)((LL_RTC_ALMA_GetHour(RTCx) << RTC_OFFSET_HOUR) | (LL_RTC_ALMA_GetMinute(RTCx) << RTC_OFFSET_MINUTE) | LL_RTC_ALMA_GetSecond(RTCx));
<> 156:95d6b41a828b 1975 }
<> 156:95d6b41a828b 1976
<> 156:95d6b41a828b 1977 /**
<> 156:95d6b41a828b 1978 * @brief Set Alarm A Mask the most-significant bits starting at this bit
<> 156:95d6b41a828b 1979 * @note This register can be written only when ALRAE is reset in RTC_CR register,
<> 156:95d6b41a828b 1980 * or in initialization mode.
<> 156:95d6b41a828b 1981 * @rmtoll ALRMASSR MASKSS LL_RTC_ALMA_SetSubSecondMask
<> 156:95d6b41a828b 1982 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1983 * @param Mask Value between Min_Data=0x00 and Max_Data=0xF
<> 156:95d6b41a828b 1984 * @retval None
<> 156:95d6b41a828b 1985 */
<> 156:95d6b41a828b 1986 __STATIC_INLINE void LL_RTC_ALMA_SetSubSecondMask(RTC_TypeDef *RTCx, uint32_t Mask)
<> 156:95d6b41a828b 1987 {
<> 156:95d6b41a828b 1988 MODIFY_REG(RTCx->ALRMASSR, RTC_ALRMASSR_MASKSS, Mask << RTC_POSITION_ALMA_MASKSS);
<> 156:95d6b41a828b 1989 }
<> 156:95d6b41a828b 1990
<> 156:95d6b41a828b 1991 /**
<> 156:95d6b41a828b 1992 * @brief Get Alarm A Mask the most-significant bits starting at this bit
<> 156:95d6b41a828b 1993 * @rmtoll ALRMASSR MASKSS LL_RTC_ALMA_GetSubSecondMask
<> 156:95d6b41a828b 1994 * @param RTCx RTC Instance
<> 156:95d6b41a828b 1995 * @retval Value between Min_Data=0x00 and Max_Data=0xF
<> 156:95d6b41a828b 1996 */
<> 156:95d6b41a828b 1997 __STATIC_INLINE uint32_t LL_RTC_ALMA_GetSubSecondMask(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 1998 {
<> 156:95d6b41a828b 1999 return (uint32_t)(READ_BIT(RTCx->ALRMASSR, RTC_ALRMASSR_MASKSS) >> RTC_POSITION_ALMA_MASKSS);
<> 156:95d6b41a828b 2000 }
<> 156:95d6b41a828b 2001
<> 156:95d6b41a828b 2002 /**
<> 156:95d6b41a828b 2003 * @brief Set Alarm A Sub seconds value
<> 156:95d6b41a828b 2004 * @rmtoll ALRMASSR SS LL_RTC_ALMA_SetSubSecond
<> 156:95d6b41a828b 2005 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2006 * @param Subsecond Value between Min_Data=0x00 and Max_Data=0x7FFF
<> 156:95d6b41a828b 2007 * @retval None
<> 156:95d6b41a828b 2008 */
<> 156:95d6b41a828b 2009 __STATIC_INLINE void LL_RTC_ALMA_SetSubSecond(RTC_TypeDef *RTCx, uint32_t Subsecond)
<> 156:95d6b41a828b 2010 {
<> 156:95d6b41a828b 2011 MODIFY_REG(RTCx->ALRMASSR, RTC_ALRMASSR_SS, Subsecond);
<> 156:95d6b41a828b 2012 }
<> 156:95d6b41a828b 2013
<> 156:95d6b41a828b 2014 /**
<> 156:95d6b41a828b 2015 * @brief Get Alarm A Sub seconds value
<> 156:95d6b41a828b 2016 * @rmtoll ALRMASSR SS LL_RTC_ALMA_GetSubSecond
<> 156:95d6b41a828b 2017 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2018 * @retval Value between Min_Data=0x00 and Max_Data=0x7FFF
<> 156:95d6b41a828b 2019 */
<> 156:95d6b41a828b 2020 __STATIC_INLINE uint32_t LL_RTC_ALMA_GetSubSecond(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2021 {
<> 156:95d6b41a828b 2022 return (uint32_t)(READ_BIT(RTCx->ALRMASSR, RTC_ALRMASSR_SS));
<> 156:95d6b41a828b 2023 }
<> 156:95d6b41a828b 2024
<> 156:95d6b41a828b 2025 /**
<> 156:95d6b41a828b 2026 * @}
<> 156:95d6b41a828b 2027 */
<> 156:95d6b41a828b 2028
<> 156:95d6b41a828b 2029 /** @defgroup RTC_LL_EF_Timestamp Timestamp
<> 156:95d6b41a828b 2030 * @{
<> 156:95d6b41a828b 2031 */
<> 156:95d6b41a828b 2032
<> 156:95d6b41a828b 2033 /**
<> 156:95d6b41a828b 2034 * @brief Enable Timestamp
<> 156:95d6b41a828b 2035 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 2036 * @rmtoll CR TSE LL_RTC_TS_Enable
<> 156:95d6b41a828b 2037 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2038 * @retval None
<> 156:95d6b41a828b 2039 */
<> 156:95d6b41a828b 2040 __STATIC_INLINE void LL_RTC_TS_Enable(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2041 {
<> 156:95d6b41a828b 2042 SET_BIT(RTCx->CR, RTC_CR_TSE);
<> 156:95d6b41a828b 2043 }
<> 156:95d6b41a828b 2044
<> 156:95d6b41a828b 2045 /**
<> 156:95d6b41a828b 2046 * @brief Disable Timestamp
<> 156:95d6b41a828b 2047 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 2048 * @rmtoll CR TSE LL_RTC_TS_Disable
<> 156:95d6b41a828b 2049 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2050 * @retval None
<> 156:95d6b41a828b 2051 */
<> 156:95d6b41a828b 2052 __STATIC_INLINE void LL_RTC_TS_Disable(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2053 {
<> 156:95d6b41a828b 2054 CLEAR_BIT(RTCx->CR, RTC_CR_TSE);
<> 156:95d6b41a828b 2055 }
<> 156:95d6b41a828b 2056
<> 156:95d6b41a828b 2057 /**
<> 156:95d6b41a828b 2058 * @brief Set Time-stamp event active edge
<> 156:95d6b41a828b 2059 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 2060 * @note TSE must be reset when TSEDGE is changed to avoid unwanted TSF setting
<> 156:95d6b41a828b 2061 * @rmtoll CR TSEDGE LL_RTC_TS_SetActiveEdge
<> 156:95d6b41a828b 2062 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2063 * @param Edge This parameter can be one of the following values:
<> 156:95d6b41a828b 2064 * @arg @ref LL_RTC_TIMESTAMP_EDGE_RISING
<> 156:95d6b41a828b 2065 * @arg @ref LL_RTC_TIMESTAMP_EDGE_FALLING
<> 156:95d6b41a828b 2066 * @retval None
<> 156:95d6b41a828b 2067 */
<> 156:95d6b41a828b 2068 __STATIC_INLINE void LL_RTC_TS_SetActiveEdge(RTC_TypeDef *RTCx, uint32_t Edge)
<> 156:95d6b41a828b 2069 {
<> 156:95d6b41a828b 2070 MODIFY_REG(RTCx->CR, RTC_CR_TSEDGE, Edge);
<> 156:95d6b41a828b 2071 }
<> 156:95d6b41a828b 2072
<> 156:95d6b41a828b 2073 /**
<> 156:95d6b41a828b 2074 * @brief Get Time-stamp event active edge
<> 156:95d6b41a828b 2075 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 2076 * @rmtoll CR TSEDGE LL_RTC_TS_GetActiveEdge
<> 156:95d6b41a828b 2077 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2078 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 2079 * @arg @ref LL_RTC_TIMESTAMP_EDGE_RISING
<> 156:95d6b41a828b 2080 * @arg @ref LL_RTC_TIMESTAMP_EDGE_FALLING
<> 156:95d6b41a828b 2081 */
<> 156:95d6b41a828b 2082 __STATIC_INLINE uint32_t LL_RTC_TS_GetActiveEdge(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2083 {
<> 156:95d6b41a828b 2084 return (uint32_t)(READ_BIT(RTCx->CR, RTC_CR_TSEDGE));
<> 156:95d6b41a828b 2085 }
<> 156:95d6b41a828b 2086
<> 156:95d6b41a828b 2087 /**
<> 156:95d6b41a828b 2088 * @brief Get Timestamp AM/PM notation (AM or 24-hour format)
<> 156:95d6b41a828b 2089 * @rmtoll TSTR PM LL_RTC_TS_GetTimeFormat
<> 156:95d6b41a828b 2090 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2091 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 2092 * @arg @ref LL_RTC_TS_TIME_FORMAT_AM
<> 156:95d6b41a828b 2093 * @arg @ref LL_RTC_TS_TIME_FORMAT_PM
<> 156:95d6b41a828b 2094 */
<> 156:95d6b41a828b 2095 __STATIC_INLINE uint32_t LL_RTC_TS_GetTimeFormat(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2096 {
<> 156:95d6b41a828b 2097 return (uint32_t)(READ_BIT(RTCx->TSTR, RTC_TSTR_PM));
<> 156:95d6b41a828b 2098 }
<> 156:95d6b41a828b 2099
<> 156:95d6b41a828b 2100 /**
<> 156:95d6b41a828b 2101 * @brief Get Timestamp Hours in BCD format
<> 156:95d6b41a828b 2102 * @note helper macro __LL_RTC_CONVERT_BCD2BIN is available to convert Hours from BCD to Binary format
<> 156:95d6b41a828b 2103 * @rmtoll TSTR HT LL_RTC_TS_GetHour\n
<> 156:95d6b41a828b 2104 * TSTR HU LL_RTC_TS_GetHour
<> 156:95d6b41a828b 2105 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2106 * @retval Value between Min_Data=0x01 and Max_Data=0x12 or between Min_Data=0x00 and Max_Data=0x23
<> 156:95d6b41a828b 2107 */
<> 156:95d6b41a828b 2108 __STATIC_INLINE uint32_t LL_RTC_TS_GetHour(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2109 {
<> 156:95d6b41a828b 2110 return (uint32_t)(READ_BIT(RTCx->TSTR, RTC_TSTR_HT | RTC_TSTR_HU) >> RTC_POSITION_TS_HU);
<> 156:95d6b41a828b 2111 }
<> 156:95d6b41a828b 2112
<> 156:95d6b41a828b 2113 /**
<> 156:95d6b41a828b 2114 * @brief Get Timestamp Minutes in BCD format
<> 156:95d6b41a828b 2115 * @note helper macro __LL_RTC_CONVERT_BCD2BIN is available to convert Minutes from BCD to Binary format
<> 156:95d6b41a828b 2116 * @rmtoll TSTR MNT LL_RTC_TS_GetMinute\n
<> 156:95d6b41a828b 2117 * TSTR MNU LL_RTC_TS_GetMinute
<> 156:95d6b41a828b 2118 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2119 * @retval Value between Min_Data=0x00 and Max_Data=0x59
<> 156:95d6b41a828b 2120 */
<> 156:95d6b41a828b 2121 __STATIC_INLINE uint32_t LL_RTC_TS_GetMinute(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2122 {
<> 156:95d6b41a828b 2123 return (uint32_t)(READ_BIT(RTCx->TSTR, RTC_TSTR_MNT | RTC_TSTR_MNU) >> RTC_POSITION_TS_MNU);
<> 156:95d6b41a828b 2124 }
<> 156:95d6b41a828b 2125
<> 156:95d6b41a828b 2126 /**
<> 156:95d6b41a828b 2127 * @brief Get Timestamp Seconds in BCD format
<> 156:95d6b41a828b 2128 * @note helper macro __LL_RTC_CONVERT_BCD2BIN is available to convert Seconds from BCD to Binary format
<> 156:95d6b41a828b 2129 * @rmtoll TSTR ST LL_RTC_TS_GetSecond\n
<> 156:95d6b41a828b 2130 * TSTR SU LL_RTC_TS_GetSecond
<> 156:95d6b41a828b 2131 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2132 * @retval Value between Min_Data=0x00 and Max_Data=0x59
<> 156:95d6b41a828b 2133 */
<> 156:95d6b41a828b 2134 __STATIC_INLINE uint32_t LL_RTC_TS_GetSecond(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2135 {
<> 156:95d6b41a828b 2136 return (uint32_t)(READ_BIT(RTCx->TSTR, RTC_TSTR_ST | RTC_TSTR_SU));
<> 156:95d6b41a828b 2137 }
<> 156:95d6b41a828b 2138
<> 156:95d6b41a828b 2139 /**
<> 156:95d6b41a828b 2140 * @brief Get Timestamp time (hour, minute and second) in BCD format
<> 156:95d6b41a828b 2141 * @note helper macros __LL_RTC_GET_HOUR, __LL_RTC_GET_MINUTE and __LL_RTC_GET_SECOND
<> 156:95d6b41a828b 2142 * are available to get independently each parameter.
<> 156:95d6b41a828b 2143 * @rmtoll TSTR HT LL_RTC_TS_GetTime\n
<> 156:95d6b41a828b 2144 * TSTR HU LL_RTC_TS_GetTime\n
<> 156:95d6b41a828b 2145 * TSTR MNT LL_RTC_TS_GetTime\n
<> 156:95d6b41a828b 2146 * TSTR MNU LL_RTC_TS_GetTime\n
<> 156:95d6b41a828b 2147 * TSTR ST LL_RTC_TS_GetTime\n
<> 156:95d6b41a828b 2148 * TSTR SU LL_RTC_TS_GetTime
<> 156:95d6b41a828b 2149 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2150 * @retval Combination of hours, minutes and seconds.
<> 156:95d6b41a828b 2151 */
<> 156:95d6b41a828b 2152 __STATIC_INLINE uint32_t LL_RTC_TS_GetTime(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2153 {
<> 156:95d6b41a828b 2154 return (uint32_t)(READ_BIT(RTCx->TSTR,
<> 156:95d6b41a828b 2155 RTC_TSTR_HT | RTC_TSTR_HU | RTC_TSTR_MNT | RTC_TSTR_MNU | RTC_TSTR_ST | RTC_TSTR_SU));
<> 156:95d6b41a828b 2156 }
<> 156:95d6b41a828b 2157
<> 156:95d6b41a828b 2158 /**
<> 156:95d6b41a828b 2159 * @brief Get Timestamp Week day
<> 156:95d6b41a828b 2160 * @rmtoll TSDR WDU LL_RTC_TS_GetWeekDay
<> 156:95d6b41a828b 2161 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2162 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 2163 * @arg @ref LL_RTC_WEEKDAY_MONDAY
<> 156:95d6b41a828b 2164 * @arg @ref LL_RTC_WEEKDAY_TUESDAY
<> 156:95d6b41a828b 2165 * @arg @ref LL_RTC_WEEKDAY_WEDNESDAY
<> 156:95d6b41a828b 2166 * @arg @ref LL_RTC_WEEKDAY_THURSDAY
<> 156:95d6b41a828b 2167 * @arg @ref LL_RTC_WEEKDAY_FRIDAY
<> 156:95d6b41a828b 2168 * @arg @ref LL_RTC_WEEKDAY_SATURDAY
<> 156:95d6b41a828b 2169 * @arg @ref LL_RTC_WEEKDAY_SUNDAY
<> 156:95d6b41a828b 2170 */
<> 156:95d6b41a828b 2171 __STATIC_INLINE uint32_t LL_RTC_TS_GetWeekDay(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2172 {
<> 156:95d6b41a828b 2173 return (uint32_t)(READ_BIT(RTCx->TSDR, RTC_TSDR_WDU) >> RTC_POSITION_TS_WDU);
<> 156:95d6b41a828b 2174 }
<> 156:95d6b41a828b 2175
<> 156:95d6b41a828b 2176 /**
<> 156:95d6b41a828b 2177 * @brief Get Timestamp Month in BCD format
<> 156:95d6b41a828b 2178 * @note helper macro __LL_RTC_CONVERT_BCD2BIN is available to convert Month from BCD to Binary format
<> 156:95d6b41a828b 2179 * @rmtoll TSDR MT LL_RTC_TS_GetMonth\n
<> 156:95d6b41a828b 2180 * TSDR MU LL_RTC_TS_GetMonth
<> 156:95d6b41a828b 2181 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2182 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 2183 * @arg @ref LL_RTC_MONTH_JANUARY
<> 156:95d6b41a828b 2184 * @arg @ref LL_RTC_MONTH_FEBRUARY
<> 156:95d6b41a828b 2185 * @arg @ref LL_RTC_MONTH_MARCH
<> 156:95d6b41a828b 2186 * @arg @ref LL_RTC_MONTH_APRIL
<> 156:95d6b41a828b 2187 * @arg @ref LL_RTC_MONTH_MAY
<> 156:95d6b41a828b 2188 * @arg @ref LL_RTC_MONTH_JUNE
<> 156:95d6b41a828b 2189 * @arg @ref LL_RTC_MONTH_JULY
<> 156:95d6b41a828b 2190 * @arg @ref LL_RTC_MONTH_AUGUST
<> 156:95d6b41a828b 2191 * @arg @ref LL_RTC_MONTH_SEPTEMBER
<> 156:95d6b41a828b 2192 * @arg @ref LL_RTC_MONTH_OCTOBER
<> 156:95d6b41a828b 2193 * @arg @ref LL_RTC_MONTH_NOVEMBER
<> 156:95d6b41a828b 2194 * @arg @ref LL_RTC_MONTH_DECEMBER
<> 156:95d6b41a828b 2195 */
<> 156:95d6b41a828b 2196 __STATIC_INLINE uint32_t LL_RTC_TS_GetMonth(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2197 {
<> 156:95d6b41a828b 2198 return (uint32_t)(READ_BIT(RTCx->TSDR, RTC_TSDR_MT | RTC_TSDR_MU) >> RTC_POSITION_TS_MU);
<> 156:95d6b41a828b 2199 }
<> 156:95d6b41a828b 2200
<> 156:95d6b41a828b 2201 /**
<> 156:95d6b41a828b 2202 * @brief Get Timestamp Day in BCD format
<> 156:95d6b41a828b 2203 * @note helper macro __LL_RTC_CONVERT_BCD2BIN is available to convert Day from BCD to Binary format
<> 156:95d6b41a828b 2204 * @rmtoll TSDR DT LL_RTC_TS_GetDay\n
<> 156:95d6b41a828b 2205 * TSDR DU LL_RTC_TS_GetDay
<> 156:95d6b41a828b 2206 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2207 * @retval Value between Min_Data=0x01 and Max_Data=0x31
<> 156:95d6b41a828b 2208 */
<> 156:95d6b41a828b 2209 __STATIC_INLINE uint32_t LL_RTC_TS_GetDay(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2210 {
<> 156:95d6b41a828b 2211 return (uint32_t)(READ_BIT(RTCx->TSDR, RTC_TSDR_DT | RTC_TSDR_DU));
<> 156:95d6b41a828b 2212 }
<> 156:95d6b41a828b 2213
<> 156:95d6b41a828b 2214 /**
<> 156:95d6b41a828b 2215 * @brief Get Timestamp date (WeekDay, Day and Month) in BCD format
<> 156:95d6b41a828b 2216 * @note helper macros __LL_RTC_GET_WEEKDAY, __LL_RTC_GET_MONTH,
<> 156:95d6b41a828b 2217 * and __LL_RTC_GET_DAY are available to get independently each parameter.
<> 156:95d6b41a828b 2218 * @rmtoll TSDR WDU LL_RTC_TS_GetDate\n
<> 156:95d6b41a828b 2219 * TSDR MT LL_RTC_TS_GetDate\n
<> 156:95d6b41a828b 2220 * TSDR MU LL_RTC_TS_GetDate\n
<> 156:95d6b41a828b 2221 * TSDR DT LL_RTC_TS_GetDate\n
<> 156:95d6b41a828b 2222 * TSDR DU LL_RTC_TS_GetDate
<> 156:95d6b41a828b 2223 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2224 * @retval Combination of Weekday, Day and Month
<> 156:95d6b41a828b 2225 */
<> 156:95d6b41a828b 2226 __STATIC_INLINE uint32_t LL_RTC_TS_GetDate(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2227 {
<> 156:95d6b41a828b 2228 return (uint32_t)(READ_BIT(RTCx->TSDR, RTC_TSDR_WDU | RTC_TSDR_MT | RTC_TSDR_MU | RTC_TSDR_DT | RTC_TSDR_DU));
<> 156:95d6b41a828b 2229 }
<> 156:95d6b41a828b 2230
<> 156:95d6b41a828b 2231 /**
<> 156:95d6b41a828b 2232 * @brief Get time-stamp sub second value
<> 156:95d6b41a828b 2233 * @rmtoll TSSSR SS LL_RTC_TS_GetSubSecond
<> 156:95d6b41a828b 2234 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2235 * @retval Value between Min_Data=0x00 and Max_Data=0xFFFF
<> 156:95d6b41a828b 2236 */
<> 156:95d6b41a828b 2237 __STATIC_INLINE uint32_t LL_RTC_TS_GetSubSecond(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2238 {
<> 156:95d6b41a828b 2239 return (uint32_t)(READ_BIT(RTCx->TSSSR, RTC_TSSSR_SS));
<> 156:95d6b41a828b 2240 }
<> 156:95d6b41a828b 2241
<> 156:95d6b41a828b 2242 #if defined(RTC_TAFCR_TAMPTS)
<> 156:95d6b41a828b 2243 /**
<> 156:95d6b41a828b 2244 * @brief Activate timestamp on tamper detection event
<> 156:95d6b41a828b 2245 * @rmtoll TAFCR TAMPTS LL_RTC_TS_EnableOnTamper
<> 156:95d6b41a828b 2246 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2247 * @retval None
<> 156:95d6b41a828b 2248 */
<> 156:95d6b41a828b 2249 __STATIC_INLINE void LL_RTC_TS_EnableOnTamper(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2250 {
<> 156:95d6b41a828b 2251 SET_BIT(RTCx->TAFCR, RTC_TAFCR_TAMPTS);
<> 156:95d6b41a828b 2252 }
<> 156:95d6b41a828b 2253
<> 156:95d6b41a828b 2254 /**
<> 156:95d6b41a828b 2255 * @brief Disable timestamp on tamper detection event
<> 156:95d6b41a828b 2256 * @rmtoll TAFCR TAMPTS LL_RTC_TS_DisableOnTamper
<> 156:95d6b41a828b 2257 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2258 * @retval None
<> 156:95d6b41a828b 2259 */
<> 156:95d6b41a828b 2260 __STATIC_INLINE void LL_RTC_TS_DisableOnTamper(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2261 {
<> 156:95d6b41a828b 2262 CLEAR_BIT(RTCx->TAFCR, RTC_TAFCR_TAMPTS);
<> 156:95d6b41a828b 2263 }
<> 156:95d6b41a828b 2264 #endif /* RTC_TAFCR_TAMPTS */
<> 156:95d6b41a828b 2265
<> 156:95d6b41a828b 2266 /**
<> 156:95d6b41a828b 2267 * @}
<> 156:95d6b41a828b 2268 */
<> 156:95d6b41a828b 2269
<> 156:95d6b41a828b 2270 /** @defgroup RTC_LL_EF_Tamper Tamper
<> 156:95d6b41a828b 2271 * @{
<> 156:95d6b41a828b 2272 */
<> 156:95d6b41a828b 2273
<> 156:95d6b41a828b 2274 /**
<> 156:95d6b41a828b 2275 * @brief Enable RTC_TAMPx input detection
<> 156:95d6b41a828b 2276 * @rmtoll TAFCR TAMP1E LL_RTC_TAMPER_Enable\n
<> 156:95d6b41a828b 2277 * TAFCR TAMP2E LL_RTC_TAMPER_Enable\n
<> 156:95d6b41a828b 2278 * TAFCR TAMP3E LL_RTC_TAMPER_Enable
<> 156:95d6b41a828b 2279 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2280 * @param Tamper This parameter can be a combination of the following values:
<> 156:95d6b41a828b 2281 * @arg @ref LL_RTC_TAMPER_1
<> 156:95d6b41a828b 2282 * @arg @ref LL_RTC_TAMPER_2
<> 156:95d6b41a828b 2283 * @arg @ref LL_RTC_TAMPER_3 (*)
<> 156:95d6b41a828b 2284 *
<> 156:95d6b41a828b 2285 * (*) value not defined in all devices.
<> 156:95d6b41a828b 2286 * @retval None
<> 156:95d6b41a828b 2287 */
<> 156:95d6b41a828b 2288 __STATIC_INLINE void LL_RTC_TAMPER_Enable(RTC_TypeDef *RTCx, uint32_t Tamper)
<> 156:95d6b41a828b 2289 {
<> 156:95d6b41a828b 2290 SET_BIT(RTCx->TAFCR, Tamper);
<> 156:95d6b41a828b 2291 }
<> 156:95d6b41a828b 2292
<> 156:95d6b41a828b 2293 /**
<> 156:95d6b41a828b 2294 * @brief Clear RTC_TAMPx input detection
<> 156:95d6b41a828b 2295 * @rmtoll TAFCR TAMP1E LL_RTC_TAMPER_Disable\n
<> 156:95d6b41a828b 2296 * TAFCR TAMP2E LL_RTC_TAMPER_Disable\n
<> 156:95d6b41a828b 2297 * TAFCR TAMP3E LL_RTC_TAMPER_Disable
<> 156:95d6b41a828b 2298 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2299 * @param Tamper This parameter can be a combination of the following values:
<> 156:95d6b41a828b 2300 * @arg @ref LL_RTC_TAMPER_1
<> 156:95d6b41a828b 2301 * @arg @ref LL_RTC_TAMPER_2
<> 156:95d6b41a828b 2302 * @arg @ref LL_RTC_TAMPER_3 (*)
<> 156:95d6b41a828b 2303 *
<> 156:95d6b41a828b 2304 * (*) value not defined in all devices.
<> 156:95d6b41a828b 2305 * @retval None
<> 156:95d6b41a828b 2306 */
<> 156:95d6b41a828b 2307 __STATIC_INLINE void LL_RTC_TAMPER_Disable(RTC_TypeDef *RTCx, uint32_t Tamper)
<> 156:95d6b41a828b 2308 {
<> 156:95d6b41a828b 2309 CLEAR_BIT(RTCx->TAFCR, Tamper);
<> 156:95d6b41a828b 2310 }
<> 156:95d6b41a828b 2311
<> 156:95d6b41a828b 2312 #if defined(RTC_TAFCR_TAMPPUDIS)
<> 156:95d6b41a828b 2313 /**
<> 156:95d6b41a828b 2314 * @brief Disable RTC_TAMPx pull-up disable (Disable precharge of RTC_TAMPx pins)
<> 156:95d6b41a828b 2315 * @rmtoll TAFCR TAMPPUDIS LL_RTC_TAMPER_DisablePullUp
<> 156:95d6b41a828b 2316 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2317 * @retval None
<> 156:95d6b41a828b 2318 */
<> 156:95d6b41a828b 2319 __STATIC_INLINE void LL_RTC_TAMPER_DisablePullUp(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2320 {
<> 156:95d6b41a828b 2321 SET_BIT(RTCx->TAFCR, RTC_TAFCR_TAMPPUDIS);
<> 156:95d6b41a828b 2322 }
<> 156:95d6b41a828b 2323
<> 156:95d6b41a828b 2324 /**
<> 156:95d6b41a828b 2325 * @brief Enable RTC_TAMPx pull-up disable ( Precharge RTC_TAMPx pins before sampling)
<> 156:95d6b41a828b 2326 * @rmtoll TAFCR TAMPPUDIS LL_RTC_TAMPER_EnablePullUp
<> 156:95d6b41a828b 2327 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2328 * @retval None
<> 156:95d6b41a828b 2329 */
<> 156:95d6b41a828b 2330 __STATIC_INLINE void LL_RTC_TAMPER_EnablePullUp(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2331 {
<> 156:95d6b41a828b 2332 CLEAR_BIT(RTCx->TAFCR, RTC_TAFCR_TAMPPUDIS);
<> 156:95d6b41a828b 2333 }
<> 156:95d6b41a828b 2334 #endif /* RTC_TAFCR_TAMPPUDIS */
<> 156:95d6b41a828b 2335
<> 156:95d6b41a828b 2336 #if defined(RTC_TAFCR_TAMPPRCH)
<> 156:95d6b41a828b 2337 /**
<> 156:95d6b41a828b 2338 * @brief Set RTC_TAMPx precharge duration
<> 156:95d6b41a828b 2339 * @rmtoll TAFCR TAMPPRCH LL_RTC_TAMPER_SetPrecharge
<> 156:95d6b41a828b 2340 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2341 * @param Duration This parameter can be one of the following values:
<> 156:95d6b41a828b 2342 * @arg @ref LL_RTC_TAMPER_DURATION_1RTCCLK
<> 156:95d6b41a828b 2343 * @arg @ref LL_RTC_TAMPER_DURATION_2RTCCLK
<> 156:95d6b41a828b 2344 * @arg @ref LL_RTC_TAMPER_DURATION_4RTCCLK
<> 156:95d6b41a828b 2345 * @arg @ref LL_RTC_TAMPER_DURATION_8RTCCLK
<> 156:95d6b41a828b 2346 * @retval None
<> 156:95d6b41a828b 2347 */
<> 156:95d6b41a828b 2348 __STATIC_INLINE void LL_RTC_TAMPER_SetPrecharge(RTC_TypeDef *RTCx, uint32_t Duration)
<> 156:95d6b41a828b 2349 {
<> 156:95d6b41a828b 2350 MODIFY_REG(RTCx->TAFCR, RTC_TAFCR_TAMPPRCH, Duration);
<> 156:95d6b41a828b 2351 }
<> 156:95d6b41a828b 2352
<> 156:95d6b41a828b 2353 /**
<> 156:95d6b41a828b 2354 * @brief Get RTC_TAMPx precharge duration
<> 156:95d6b41a828b 2355 * @rmtoll TAFCR TAMPPRCH LL_RTC_TAMPER_GetPrecharge
<> 156:95d6b41a828b 2356 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2357 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 2358 * @arg @ref LL_RTC_TAMPER_DURATION_1RTCCLK
<> 156:95d6b41a828b 2359 * @arg @ref LL_RTC_TAMPER_DURATION_2RTCCLK
<> 156:95d6b41a828b 2360 * @arg @ref LL_RTC_TAMPER_DURATION_4RTCCLK
<> 156:95d6b41a828b 2361 * @arg @ref LL_RTC_TAMPER_DURATION_8RTCCLK
<> 156:95d6b41a828b 2362 */
<> 156:95d6b41a828b 2363 __STATIC_INLINE uint32_t LL_RTC_TAMPER_GetPrecharge(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2364 {
<> 156:95d6b41a828b 2365 return (uint32_t)(READ_BIT(RTCx->TAFCR, RTC_TAFCR_TAMPPRCH));
<> 156:95d6b41a828b 2366 }
<> 156:95d6b41a828b 2367 #endif /* RTC_TAFCR_TAMPPRCH */
<> 156:95d6b41a828b 2368
<> 156:95d6b41a828b 2369 #if defined(RTC_TAFCR_TAMPFLT)
<> 156:95d6b41a828b 2370 /**
<> 156:95d6b41a828b 2371 * @brief Set RTC_TAMPx filter count
<> 156:95d6b41a828b 2372 * @rmtoll TAFCR TAMPFLT LL_RTC_TAMPER_SetFilterCount
<> 156:95d6b41a828b 2373 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2374 * @param FilterCount This parameter can be one of the following values:
<> 156:95d6b41a828b 2375 * @arg @ref LL_RTC_TAMPER_FILTER_DISABLE
<> 156:95d6b41a828b 2376 * @arg @ref LL_RTC_TAMPER_FILTER_2SAMPLE
<> 156:95d6b41a828b 2377 * @arg @ref LL_RTC_TAMPER_FILTER_4SAMPLE
<> 156:95d6b41a828b 2378 * @arg @ref LL_RTC_TAMPER_FILTER_8SAMPLE
<> 156:95d6b41a828b 2379 * @retval None
<> 156:95d6b41a828b 2380 */
<> 156:95d6b41a828b 2381 __STATIC_INLINE void LL_RTC_TAMPER_SetFilterCount(RTC_TypeDef *RTCx, uint32_t FilterCount)
<> 156:95d6b41a828b 2382 {
<> 156:95d6b41a828b 2383 MODIFY_REG(RTCx->TAFCR, RTC_TAFCR_TAMPFLT, FilterCount);
<> 156:95d6b41a828b 2384 }
<> 156:95d6b41a828b 2385
<> 156:95d6b41a828b 2386 /**
<> 156:95d6b41a828b 2387 * @brief Get RTC_TAMPx filter count
<> 156:95d6b41a828b 2388 * @rmtoll TAFCR TAMPFLT LL_RTC_TAMPER_GetFilterCount
<> 156:95d6b41a828b 2389 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2390 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 2391 * @arg @ref LL_RTC_TAMPER_FILTER_DISABLE
<> 156:95d6b41a828b 2392 * @arg @ref LL_RTC_TAMPER_FILTER_2SAMPLE
<> 156:95d6b41a828b 2393 * @arg @ref LL_RTC_TAMPER_FILTER_4SAMPLE
<> 156:95d6b41a828b 2394 * @arg @ref LL_RTC_TAMPER_FILTER_8SAMPLE
<> 156:95d6b41a828b 2395 */
<> 156:95d6b41a828b 2396 __STATIC_INLINE uint32_t LL_RTC_TAMPER_GetFilterCount(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2397 {
<> 156:95d6b41a828b 2398 return (uint32_t)(READ_BIT(RTCx->TAFCR, RTC_TAFCR_TAMPFLT));
<> 156:95d6b41a828b 2399 }
<> 156:95d6b41a828b 2400 #endif /* RTC_TAFCR_TAMPFLT */
<> 156:95d6b41a828b 2401
<> 156:95d6b41a828b 2402 #if defined(RTC_TAFCR_TAMPFREQ)
<> 156:95d6b41a828b 2403 /**
<> 156:95d6b41a828b 2404 * @brief Set Tamper sampling frequency
<> 156:95d6b41a828b 2405 * @rmtoll TAFCR TAMPFREQ LL_RTC_TAMPER_SetSamplingFreq
<> 156:95d6b41a828b 2406 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2407 * @param SamplingFreq This parameter can be one of the following values:
<> 156:95d6b41a828b 2408 * @arg @ref LL_RTC_TAMPER_SAMPLFREQDIV_32768
<> 156:95d6b41a828b 2409 * @arg @ref LL_RTC_TAMPER_SAMPLFREQDIV_16384
<> 156:95d6b41a828b 2410 * @arg @ref LL_RTC_TAMPER_SAMPLFREQDIV_8192
<> 156:95d6b41a828b 2411 * @arg @ref LL_RTC_TAMPER_SAMPLFREQDIV_4096
<> 156:95d6b41a828b 2412 * @arg @ref LL_RTC_TAMPER_SAMPLFREQDIV_2048
<> 156:95d6b41a828b 2413 * @arg @ref LL_RTC_TAMPER_SAMPLFREQDIV_1024
<> 156:95d6b41a828b 2414 * @arg @ref LL_RTC_TAMPER_SAMPLFREQDIV_512
<> 156:95d6b41a828b 2415 * @arg @ref LL_RTC_TAMPER_SAMPLFREQDIV_256
<> 156:95d6b41a828b 2416 * @retval None
<> 156:95d6b41a828b 2417 */
<> 156:95d6b41a828b 2418 __STATIC_INLINE void LL_RTC_TAMPER_SetSamplingFreq(RTC_TypeDef *RTCx, uint32_t SamplingFreq)
<> 156:95d6b41a828b 2419 {
<> 156:95d6b41a828b 2420 MODIFY_REG(RTCx->TAFCR, RTC_TAFCR_TAMPFREQ, SamplingFreq);
<> 156:95d6b41a828b 2421 }
<> 156:95d6b41a828b 2422
<> 156:95d6b41a828b 2423 /**
<> 156:95d6b41a828b 2424 * @brief Get Tamper sampling frequency
<> 156:95d6b41a828b 2425 * @rmtoll TAFCR TAMPFREQ LL_RTC_TAMPER_GetSamplingFreq
<> 156:95d6b41a828b 2426 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2427 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 2428 * @arg @ref LL_RTC_TAMPER_SAMPLFREQDIV_32768
<> 156:95d6b41a828b 2429 * @arg @ref LL_RTC_TAMPER_SAMPLFREQDIV_16384
<> 156:95d6b41a828b 2430 * @arg @ref LL_RTC_TAMPER_SAMPLFREQDIV_8192
<> 156:95d6b41a828b 2431 * @arg @ref LL_RTC_TAMPER_SAMPLFREQDIV_4096
<> 156:95d6b41a828b 2432 * @arg @ref LL_RTC_TAMPER_SAMPLFREQDIV_2048
<> 156:95d6b41a828b 2433 * @arg @ref LL_RTC_TAMPER_SAMPLFREQDIV_1024
<> 156:95d6b41a828b 2434 * @arg @ref LL_RTC_TAMPER_SAMPLFREQDIV_512
<> 156:95d6b41a828b 2435 * @arg @ref LL_RTC_TAMPER_SAMPLFREQDIV_256
<> 156:95d6b41a828b 2436 */
<> 156:95d6b41a828b 2437 __STATIC_INLINE uint32_t LL_RTC_TAMPER_GetSamplingFreq(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2438 {
<> 156:95d6b41a828b 2439 return (uint32_t)(READ_BIT(RTCx->TAFCR, RTC_TAFCR_TAMPFREQ));
<> 156:95d6b41a828b 2440 }
<> 156:95d6b41a828b 2441 #endif /* RTC_TAFCR_TAMPFREQ */
<> 156:95d6b41a828b 2442
<> 156:95d6b41a828b 2443 /**
<> 156:95d6b41a828b 2444 * @brief Enable Active level for Tamper input
<> 156:95d6b41a828b 2445 * @rmtoll TAFCR TAMP1TRG LL_RTC_TAMPER_EnableActiveLevel\n
<> 156:95d6b41a828b 2446 * TAFCR TAMP2TRG LL_RTC_TAMPER_EnableActiveLevel\n
<> 156:95d6b41a828b 2447 * TAFCR TAMP3TRG LL_RTC_TAMPER_EnableActiveLevel
<> 156:95d6b41a828b 2448 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2449 * @param Tamper This parameter can be a combination of the following values:
<> 156:95d6b41a828b 2450 * @arg @ref LL_RTC_TAMPER_ACTIVELEVEL_TAMP1
<> 156:95d6b41a828b 2451 * @arg @ref LL_RTC_TAMPER_ACTIVELEVEL_TAMP2
<> 156:95d6b41a828b 2452 * @arg @ref LL_RTC_TAMPER_ACTIVELEVEL_TAMP3 (*)
<> 156:95d6b41a828b 2453 *
<> 156:95d6b41a828b 2454 * (*) value not defined in all devices.
<> 156:95d6b41a828b 2455 * @retval None
<> 156:95d6b41a828b 2456 */
<> 156:95d6b41a828b 2457 __STATIC_INLINE void LL_RTC_TAMPER_EnableActiveLevel(RTC_TypeDef *RTCx, uint32_t Tamper)
<> 156:95d6b41a828b 2458 {
<> 156:95d6b41a828b 2459 SET_BIT(RTCx->TAFCR, Tamper);
<> 156:95d6b41a828b 2460 }
<> 156:95d6b41a828b 2461
<> 156:95d6b41a828b 2462 /**
<> 156:95d6b41a828b 2463 * @brief Disable Active level for Tamper input
<> 156:95d6b41a828b 2464 * @rmtoll TAFCR TAMP1TRG LL_RTC_TAMPER_DisableActiveLevel\n
<> 156:95d6b41a828b 2465 * TAFCR TAMP2TRG LL_RTC_TAMPER_DisableActiveLevel\n
<> 156:95d6b41a828b 2466 * TAFCR TAMP3TRG LL_RTC_TAMPER_DisableActiveLevel
<> 156:95d6b41a828b 2467 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2468 * @param Tamper This parameter can be a combination of the following values:
<> 156:95d6b41a828b 2469 * @arg @ref LL_RTC_TAMPER_ACTIVELEVEL_TAMP1
<> 156:95d6b41a828b 2470 * @arg @ref LL_RTC_TAMPER_ACTIVELEVEL_TAMP2
<> 156:95d6b41a828b 2471 * @arg @ref LL_RTC_TAMPER_ACTIVELEVEL_TAMP3 (*)
<> 156:95d6b41a828b 2472 *
<> 156:95d6b41a828b 2473 * (*) value not defined in all devices.
<> 156:95d6b41a828b 2474 * @retval None
<> 156:95d6b41a828b 2475 */
<> 156:95d6b41a828b 2476 __STATIC_INLINE void LL_RTC_TAMPER_DisableActiveLevel(RTC_TypeDef *RTCx, uint32_t Tamper)
<> 156:95d6b41a828b 2477 {
<> 156:95d6b41a828b 2478 CLEAR_BIT(RTCx->TAFCR, Tamper);
<> 156:95d6b41a828b 2479 }
<> 156:95d6b41a828b 2480
<> 156:95d6b41a828b 2481 /**
<> 156:95d6b41a828b 2482 * @}
<> 156:95d6b41a828b 2483 */
<> 156:95d6b41a828b 2484
<> 156:95d6b41a828b 2485 #if defined(RTC_WAKEUP_SUPPORT)
<> 156:95d6b41a828b 2486 /** @defgroup RTC_LL_EF_Wakeup Wakeup
<> 156:95d6b41a828b 2487 * @{
<> 156:95d6b41a828b 2488 */
<> 156:95d6b41a828b 2489
<> 156:95d6b41a828b 2490 /**
<> 156:95d6b41a828b 2491 * @brief Enable Wakeup timer
<> 156:95d6b41a828b 2492 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 2493 * @rmtoll CR WUTE LL_RTC_WAKEUP_Enable
<> 156:95d6b41a828b 2494 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2495 * @retval None
<> 156:95d6b41a828b 2496 */
<> 156:95d6b41a828b 2497 __STATIC_INLINE void LL_RTC_WAKEUP_Enable(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2498 {
<> 156:95d6b41a828b 2499 SET_BIT(RTCx->CR, RTC_CR_WUTE);
<> 156:95d6b41a828b 2500 }
<> 156:95d6b41a828b 2501
<> 156:95d6b41a828b 2502 /**
<> 156:95d6b41a828b 2503 * @brief Disable Wakeup timer
<> 156:95d6b41a828b 2504 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 2505 * @rmtoll CR WUTE LL_RTC_WAKEUP_Disable
<> 156:95d6b41a828b 2506 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2507 * @retval None
<> 156:95d6b41a828b 2508 */
<> 156:95d6b41a828b 2509 __STATIC_INLINE void LL_RTC_WAKEUP_Disable(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2510 {
<> 156:95d6b41a828b 2511 CLEAR_BIT(RTCx->CR, RTC_CR_WUTE);
<> 156:95d6b41a828b 2512 }
<> 156:95d6b41a828b 2513
<> 156:95d6b41a828b 2514 /**
<> 156:95d6b41a828b 2515 * @brief Check if Wakeup timer is enabled or not
<> 156:95d6b41a828b 2516 * @rmtoll CR WUTE LL_RTC_WAKEUP_IsEnabled
<> 156:95d6b41a828b 2517 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2518 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 2519 */
<> 156:95d6b41a828b 2520 __STATIC_INLINE uint32_t LL_RTC_WAKEUP_IsEnabled(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2521 {
<> 156:95d6b41a828b 2522 return (READ_BIT(RTCx->CR, RTC_CR_WUTE) == (RTC_CR_WUTE));
<> 156:95d6b41a828b 2523 }
<> 156:95d6b41a828b 2524
<> 156:95d6b41a828b 2525 /**
<> 156:95d6b41a828b 2526 * @brief Select Wakeup clock
<> 156:95d6b41a828b 2527 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 2528 * @note Bit can be written only when RTC_CR WUTE bit = 0 and RTC_ISR WUTWF bit = 1
<> 156:95d6b41a828b 2529 * @rmtoll CR WUCKSEL LL_RTC_WAKEUP_SetClock
<> 156:95d6b41a828b 2530 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2531 * @param WakeupClock This parameter can be one of the following values:
<> 156:95d6b41a828b 2532 * @arg @ref LL_RTC_WAKEUPCLOCK_DIV_16
<> 156:95d6b41a828b 2533 * @arg @ref LL_RTC_WAKEUPCLOCK_DIV_8
<> 156:95d6b41a828b 2534 * @arg @ref LL_RTC_WAKEUPCLOCK_DIV_4
<> 156:95d6b41a828b 2535 * @arg @ref LL_RTC_WAKEUPCLOCK_DIV_2
<> 156:95d6b41a828b 2536 * @arg @ref LL_RTC_WAKEUPCLOCK_CKSPRE
<> 156:95d6b41a828b 2537 * @arg @ref LL_RTC_WAKEUPCLOCK_CKSPRE_WUT
<> 156:95d6b41a828b 2538 * @retval None
<> 156:95d6b41a828b 2539 */
<> 156:95d6b41a828b 2540 __STATIC_INLINE void LL_RTC_WAKEUP_SetClock(RTC_TypeDef *RTCx, uint32_t WakeupClock)
<> 156:95d6b41a828b 2541 {
<> 156:95d6b41a828b 2542 MODIFY_REG(RTCx->CR, RTC_CR_WUCKSEL, WakeupClock);
<> 156:95d6b41a828b 2543 }
<> 156:95d6b41a828b 2544
<> 156:95d6b41a828b 2545 /**
<> 156:95d6b41a828b 2546 * @brief Get Wakeup clock
<> 156:95d6b41a828b 2547 * @rmtoll CR WUCKSEL LL_RTC_WAKEUP_GetClock
<> 156:95d6b41a828b 2548 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2549 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 2550 * @arg @ref LL_RTC_WAKEUPCLOCK_DIV_16
<> 156:95d6b41a828b 2551 * @arg @ref LL_RTC_WAKEUPCLOCK_DIV_8
<> 156:95d6b41a828b 2552 * @arg @ref LL_RTC_WAKEUPCLOCK_DIV_4
<> 156:95d6b41a828b 2553 * @arg @ref LL_RTC_WAKEUPCLOCK_DIV_2
<> 156:95d6b41a828b 2554 * @arg @ref LL_RTC_WAKEUPCLOCK_CKSPRE
<> 156:95d6b41a828b 2555 * @arg @ref LL_RTC_WAKEUPCLOCK_CKSPRE_WUT
<> 156:95d6b41a828b 2556 */
<> 156:95d6b41a828b 2557 __STATIC_INLINE uint32_t LL_RTC_WAKEUP_GetClock(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2558 {
<> 156:95d6b41a828b 2559 return (uint32_t)(READ_BIT(RTCx->CR, RTC_CR_WUCKSEL));
<> 156:95d6b41a828b 2560 }
<> 156:95d6b41a828b 2561
<> 156:95d6b41a828b 2562 /**
<> 156:95d6b41a828b 2563 * @brief Set Wakeup auto-reload value
<> 156:95d6b41a828b 2564 * @note Bit can be written only when WUTWF is set to 1 in RTC_ISR
<> 156:95d6b41a828b 2565 * @rmtoll WUTR WUT LL_RTC_WAKEUP_SetAutoReload
<> 156:95d6b41a828b 2566 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2567 * @param Value Value between Min_Data=0x00 and Max_Data=0xFFFF
<> 156:95d6b41a828b 2568 * @retval None
<> 156:95d6b41a828b 2569 */
<> 156:95d6b41a828b 2570 __STATIC_INLINE void LL_RTC_WAKEUP_SetAutoReload(RTC_TypeDef *RTCx, uint32_t Value)
<> 156:95d6b41a828b 2571 {
<> 156:95d6b41a828b 2572 MODIFY_REG(RTCx->WUTR, RTC_WUTR_WUT, Value);
<> 156:95d6b41a828b 2573 }
<> 156:95d6b41a828b 2574
<> 156:95d6b41a828b 2575 /**
<> 156:95d6b41a828b 2576 * @brief Get Wakeup auto-reload value
<> 156:95d6b41a828b 2577 * @rmtoll WUTR WUT LL_RTC_WAKEUP_GetAutoReload
<> 156:95d6b41a828b 2578 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2579 * @retval Value between Min_Data=0x00 and Max_Data=0xFFFF
<> 156:95d6b41a828b 2580 */
<> 156:95d6b41a828b 2581 __STATIC_INLINE uint32_t LL_RTC_WAKEUP_GetAutoReload(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2582 {
<> 156:95d6b41a828b 2583 return (uint32_t)(READ_BIT(RTCx->WUTR, RTC_WUTR_WUT));
<> 156:95d6b41a828b 2584 }
<> 156:95d6b41a828b 2585
<> 156:95d6b41a828b 2586 /**
<> 156:95d6b41a828b 2587 * @}
<> 156:95d6b41a828b 2588 */
<> 156:95d6b41a828b 2589 #endif /* RTC_WAKEUP_SUPPORT */
<> 156:95d6b41a828b 2590
<> 156:95d6b41a828b 2591 #if defined(RTC_BACKUP_SUPPORT)
<> 156:95d6b41a828b 2592 /** @defgroup RTC_LL_EF_Backup_Registers Backup_Registers
<> 156:95d6b41a828b 2593 * @{
<> 156:95d6b41a828b 2594 */
<> 156:95d6b41a828b 2595
<> 156:95d6b41a828b 2596 /**
<> 156:95d6b41a828b 2597 * @brief Writes a data in a specified RTC Backup data register.
<> 156:95d6b41a828b 2598 * @rmtoll BKPxR BKP LL_RTC_BAK_SetRegister
<> 156:95d6b41a828b 2599 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2600 * @param BackupRegister This parameter can be one of the following values:
<> 156:95d6b41a828b 2601 * @arg @ref LL_RTC_BKP_DR0
<> 156:95d6b41a828b 2602 * @arg @ref LL_RTC_BKP_DR1
<> 156:95d6b41a828b 2603 * @arg @ref LL_RTC_BKP_DR2
<> 156:95d6b41a828b 2604 * @arg @ref LL_RTC_BKP_DR3
<> 156:95d6b41a828b 2605 * @arg @ref LL_RTC_BKP_DR4
<> 156:95d6b41a828b 2606 * @param Data Value between Min_Data=0x00 and Max_Data=0xFFFFFFFF
<> 156:95d6b41a828b 2607 * @retval None
<> 156:95d6b41a828b 2608 */
<> 156:95d6b41a828b 2609 __STATIC_INLINE void LL_RTC_BAK_SetRegister(RTC_TypeDef *RTCx, uint32_t BackupRegister, uint32_t Data)
<> 156:95d6b41a828b 2610 {
<> 156:95d6b41a828b 2611 register uint32_t tmp = 0U;
<> 156:95d6b41a828b 2612
<> 156:95d6b41a828b 2613 tmp = (uint32_t)(&(RTCx->BKP0R));
<> 156:95d6b41a828b 2614 tmp += (BackupRegister * 4U);
<> 156:95d6b41a828b 2615
<> 156:95d6b41a828b 2616 /* Write the specified register */
<> 156:95d6b41a828b 2617 *(__IO uint32_t *)tmp = (uint32_t)Data;
<> 156:95d6b41a828b 2618 }
<> 156:95d6b41a828b 2619
<> 156:95d6b41a828b 2620 /**
<> 156:95d6b41a828b 2621 * @brief Reads data from the specified RTC Backup data Register.
<> 156:95d6b41a828b 2622 * @rmtoll BKPxR BKP LL_RTC_BAK_GetRegister
<> 156:95d6b41a828b 2623 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2624 * @param BackupRegister This parameter can be one of the following values:
<> 156:95d6b41a828b 2625 * @arg @ref LL_RTC_BKP_DR0
<> 156:95d6b41a828b 2626 * @arg @ref LL_RTC_BKP_DR1
<> 156:95d6b41a828b 2627 * @arg @ref LL_RTC_BKP_DR2
<> 156:95d6b41a828b 2628 * @arg @ref LL_RTC_BKP_DR3
<> 156:95d6b41a828b 2629 * @arg @ref LL_RTC_BKP_DR4
<> 156:95d6b41a828b 2630 * @retval Value between Min_Data=0x00 and Max_Data=0xFFFFFFFF
<> 156:95d6b41a828b 2631 */
<> 156:95d6b41a828b 2632 __STATIC_INLINE uint32_t LL_RTC_BAK_GetRegister(RTC_TypeDef *RTCx, uint32_t BackupRegister)
<> 156:95d6b41a828b 2633 {
<> 156:95d6b41a828b 2634 register uint32_t tmp = 0U;
<> 156:95d6b41a828b 2635
<> 156:95d6b41a828b 2636 tmp = (uint32_t)(&(RTCx->BKP0R));
<> 156:95d6b41a828b 2637 tmp += (BackupRegister * 4U);
<> 156:95d6b41a828b 2638
<> 156:95d6b41a828b 2639 /* Read the specified register */
<> 156:95d6b41a828b 2640 return (*(__IO uint32_t *)tmp);
<> 156:95d6b41a828b 2641 }
<> 156:95d6b41a828b 2642
<> 156:95d6b41a828b 2643 /**
<> 156:95d6b41a828b 2644 * @}
<> 156:95d6b41a828b 2645 */
<> 156:95d6b41a828b 2646 #endif /* RTC_BACKUP_SUPPORT */
<> 156:95d6b41a828b 2647
<> 156:95d6b41a828b 2648 /** @defgroup RTC_LL_EF_Calibration Calibration
<> 156:95d6b41a828b 2649 * @{
<> 156:95d6b41a828b 2650 */
<> 156:95d6b41a828b 2651
<> 156:95d6b41a828b 2652 /**
<> 156:95d6b41a828b 2653 * @brief Set Calibration output frequency (1 Hz or 512 Hz)
<> 156:95d6b41a828b 2654 * @note Bits are write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 2655 * @rmtoll CR COE LL_RTC_CAL_SetOutputFreq\n
<> 156:95d6b41a828b 2656 * CR COSEL LL_RTC_CAL_SetOutputFreq
<> 156:95d6b41a828b 2657 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2658 * @param Frequency This parameter can be one of the following values:
<> 156:95d6b41a828b 2659 * @arg @ref LL_RTC_CALIB_OUTPUT_NONE
<> 156:95d6b41a828b 2660 * @arg @ref LL_RTC_CALIB_OUTPUT_1HZ
<> 156:95d6b41a828b 2661 * @arg @ref LL_RTC_CALIB_OUTPUT_512HZ
<> 156:95d6b41a828b 2662 * @retval None
<> 156:95d6b41a828b 2663 */
<> 156:95d6b41a828b 2664 __STATIC_INLINE void LL_RTC_CAL_SetOutputFreq(RTC_TypeDef *RTCx, uint32_t Frequency)
<> 156:95d6b41a828b 2665 {
<> 156:95d6b41a828b 2666 MODIFY_REG(RTCx->CR, RTC_CR_COE | RTC_CR_COSEL, Frequency);
<> 156:95d6b41a828b 2667 }
<> 156:95d6b41a828b 2668
<> 156:95d6b41a828b 2669 /**
<> 156:95d6b41a828b 2670 * @brief Get Calibration output frequency (1 Hz or 512 Hz)
<> 156:95d6b41a828b 2671 * @rmtoll CR COE LL_RTC_CAL_GetOutputFreq\n
<> 156:95d6b41a828b 2672 * CR COSEL LL_RTC_CAL_GetOutputFreq
<> 156:95d6b41a828b 2673 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2674 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 2675 * @arg @ref LL_RTC_CALIB_OUTPUT_NONE
<> 156:95d6b41a828b 2676 * @arg @ref LL_RTC_CALIB_OUTPUT_1HZ
<> 156:95d6b41a828b 2677 * @arg @ref LL_RTC_CALIB_OUTPUT_512HZ
<> 156:95d6b41a828b 2678 */
<> 156:95d6b41a828b 2679 __STATIC_INLINE uint32_t LL_RTC_CAL_GetOutputFreq(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2680 {
<> 156:95d6b41a828b 2681 return (uint32_t)(READ_BIT(RTCx->CR, RTC_CR_COE | RTC_CR_COSEL));
<> 156:95d6b41a828b 2682 }
<> 156:95d6b41a828b 2683
<> 156:95d6b41a828b 2684 /**
<> 156:95d6b41a828b 2685 * @brief Insert or not One RTCCLK pulse every 2exp11 pulses (frequency increased by 488.5 ppm)
<> 156:95d6b41a828b 2686 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 2687 * @note Bit can be written only when RECALPF is set to 0 in RTC_ISR
<> 156:95d6b41a828b 2688 * @rmtoll CALR CALP LL_RTC_CAL_SetPulse
<> 156:95d6b41a828b 2689 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2690 * @param Pulse This parameter can be one of the following values:
<> 156:95d6b41a828b 2691 * @arg @ref LL_RTC_CALIB_INSERTPULSE_NONE
<> 156:95d6b41a828b 2692 * @arg @ref LL_RTC_CALIB_INSERTPULSE_SET
<> 156:95d6b41a828b 2693 * @retval None
<> 156:95d6b41a828b 2694 */
<> 156:95d6b41a828b 2695 __STATIC_INLINE void LL_RTC_CAL_SetPulse(RTC_TypeDef *RTCx, uint32_t Pulse)
<> 156:95d6b41a828b 2696 {
<> 156:95d6b41a828b 2697 MODIFY_REG(RTCx->CALR, RTC_CALR_CALP, Pulse);
<> 156:95d6b41a828b 2698 }
<> 156:95d6b41a828b 2699
<> 156:95d6b41a828b 2700 /**
<> 156:95d6b41a828b 2701 * @brief Check if one RTCCLK has been inserted or not every 2exp11 pulses (frequency increased by 488.5 ppm)
<> 156:95d6b41a828b 2702 * @rmtoll CALR CALP LL_RTC_CAL_IsPulseInserted
<> 156:95d6b41a828b 2703 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2704 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 2705 */
<> 156:95d6b41a828b 2706 __STATIC_INLINE uint32_t LL_RTC_CAL_IsPulseInserted(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2707 {
<> 156:95d6b41a828b 2708 return (READ_BIT(RTCx->CALR, RTC_CALR_CALP) == (RTC_CALR_CALP));
<> 156:95d6b41a828b 2709 }
<> 156:95d6b41a828b 2710
<> 156:95d6b41a828b 2711 /**
<> 156:95d6b41a828b 2712 * @brief Set the calibration cycle period
<> 156:95d6b41a828b 2713 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 2714 * @note Bit can be written only when RECALPF is set to 0 in RTC_ISR
<> 156:95d6b41a828b 2715 * @rmtoll CALR CALW8 LL_RTC_CAL_SetPeriod\n
<> 156:95d6b41a828b 2716 * CALR CALW16 LL_RTC_CAL_SetPeriod
<> 156:95d6b41a828b 2717 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2718 * @param Period This parameter can be one of the following values:
<> 156:95d6b41a828b 2719 * @arg @ref LL_RTC_CALIB_PERIOD_32SEC
<> 156:95d6b41a828b 2720 * @arg @ref LL_RTC_CALIB_PERIOD_16SEC
<> 156:95d6b41a828b 2721 * @arg @ref LL_RTC_CALIB_PERIOD_8SEC
<> 156:95d6b41a828b 2722 * @retval None
<> 156:95d6b41a828b 2723 */
<> 156:95d6b41a828b 2724 __STATIC_INLINE void LL_RTC_CAL_SetPeriod(RTC_TypeDef *RTCx, uint32_t Period)
<> 156:95d6b41a828b 2725 {
<> 156:95d6b41a828b 2726 MODIFY_REG(RTCx->CALR, RTC_CALR_CALW8 | RTC_CALR_CALW16, Period);
<> 156:95d6b41a828b 2727 }
<> 156:95d6b41a828b 2728
<> 156:95d6b41a828b 2729 /**
<> 156:95d6b41a828b 2730 * @brief Get the calibration cycle period
<> 156:95d6b41a828b 2731 * @rmtoll CALR CALW8 LL_RTC_CAL_GetPeriod\n
<> 156:95d6b41a828b 2732 * CALR CALW16 LL_RTC_CAL_GetPeriod
<> 156:95d6b41a828b 2733 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2734 * @retval Returned value can be one of the following values:
<> 156:95d6b41a828b 2735 * @arg @ref LL_RTC_CALIB_PERIOD_32SEC
<> 156:95d6b41a828b 2736 * @arg @ref LL_RTC_CALIB_PERIOD_16SEC
<> 156:95d6b41a828b 2737 * @arg @ref LL_RTC_CALIB_PERIOD_8SEC
<> 156:95d6b41a828b 2738 */
<> 156:95d6b41a828b 2739 __STATIC_INLINE uint32_t LL_RTC_CAL_GetPeriod(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2740 {
<> 156:95d6b41a828b 2741 return (uint32_t)(READ_BIT(RTCx->CALR, RTC_CALR_CALW8 | RTC_CALR_CALW16));
<> 156:95d6b41a828b 2742 }
<> 156:95d6b41a828b 2743
<> 156:95d6b41a828b 2744 /**
<> 156:95d6b41a828b 2745 * @brief Set Calibration minus
<> 156:95d6b41a828b 2746 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 2747 * @note Bit can be written only when RECALPF is set to 0 in RTC_ISR
<> 156:95d6b41a828b 2748 * @rmtoll CALR CALM LL_RTC_CAL_SetMinus
<> 156:95d6b41a828b 2749 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2750 * @param CalibMinus Value between Min_Data=0x00 and Max_Data=0x1FF
<> 156:95d6b41a828b 2751 * @retval None
<> 156:95d6b41a828b 2752 */
<> 156:95d6b41a828b 2753 __STATIC_INLINE void LL_RTC_CAL_SetMinus(RTC_TypeDef *RTCx, uint32_t CalibMinus)
<> 156:95d6b41a828b 2754 {
<> 156:95d6b41a828b 2755 MODIFY_REG(RTCx->CALR, RTC_CALR_CALM, CalibMinus);
<> 156:95d6b41a828b 2756 }
<> 156:95d6b41a828b 2757
<> 156:95d6b41a828b 2758 /**
<> 156:95d6b41a828b 2759 * @brief Get Calibration minus
<> 156:95d6b41a828b 2760 * @rmtoll CALR CALM LL_RTC_CAL_GetMinus
<> 156:95d6b41a828b 2761 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2762 * @retval Value between Min_Data=0x00 and Max_Data= 0x1FF
<> 156:95d6b41a828b 2763 */
<> 156:95d6b41a828b 2764 __STATIC_INLINE uint32_t LL_RTC_CAL_GetMinus(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2765 {
<> 156:95d6b41a828b 2766 return (uint32_t)(READ_BIT(RTCx->CALR, RTC_CALR_CALM));
<> 156:95d6b41a828b 2767 }
<> 156:95d6b41a828b 2768
<> 156:95d6b41a828b 2769 /**
<> 156:95d6b41a828b 2770 * @}
<> 156:95d6b41a828b 2771 */
<> 156:95d6b41a828b 2772
<> 156:95d6b41a828b 2773 /** @defgroup RTC_LL_EF_FLAG_Management FLAG_Management
<> 156:95d6b41a828b 2774 * @{
<> 156:95d6b41a828b 2775 */
<> 156:95d6b41a828b 2776
<> 156:95d6b41a828b 2777 /**
<> 156:95d6b41a828b 2778 * @brief Get Recalibration pending Flag
<> 156:95d6b41a828b 2779 * @rmtoll ISR RECALPF LL_RTC_IsActiveFlag_RECALP
<> 156:95d6b41a828b 2780 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2781 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 2782 */
<> 156:95d6b41a828b 2783 __STATIC_INLINE uint32_t LL_RTC_IsActiveFlag_RECALP(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2784 {
<> 156:95d6b41a828b 2785 return (READ_BIT(RTCx->ISR, RTC_ISR_RECALPF) == (RTC_ISR_RECALPF));
<> 156:95d6b41a828b 2786 }
<> 156:95d6b41a828b 2787
<> 156:95d6b41a828b 2788 #if defined(RTC_TAMPER3_SUPPORT)
<> 156:95d6b41a828b 2789 /**
<> 156:95d6b41a828b 2790 * @brief Get RTC_TAMP3 detection flag
<> 156:95d6b41a828b 2791 * @rmtoll ISR TAMP3F LL_RTC_IsActiveFlag_TAMP3
<> 156:95d6b41a828b 2792 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2793 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 2794 */
<> 156:95d6b41a828b 2795 __STATIC_INLINE uint32_t LL_RTC_IsActiveFlag_TAMP3(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2796 {
<> 156:95d6b41a828b 2797 return (READ_BIT(RTCx->ISR, RTC_ISR_TAMP3F) == (RTC_ISR_TAMP3F));
<> 156:95d6b41a828b 2798 }
<> 156:95d6b41a828b 2799 #endif /* RTC_TAMPER3_SUPPORT */
<> 156:95d6b41a828b 2800
<> 156:95d6b41a828b 2801 #if defined(RTC_TAMPER2_SUPPORT)
<> 156:95d6b41a828b 2802 /**
<> 156:95d6b41a828b 2803 * @brief Get RTC_TAMP2 detection flag
<> 156:95d6b41a828b 2804 * @rmtoll ISR TAMP2F LL_RTC_IsActiveFlag_TAMP2
<> 156:95d6b41a828b 2805 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2806 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 2807 */
<> 156:95d6b41a828b 2808 __STATIC_INLINE uint32_t LL_RTC_IsActiveFlag_TAMP2(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2809 {
<> 156:95d6b41a828b 2810 return (READ_BIT(RTCx->ISR, RTC_ISR_TAMP2F) == (RTC_ISR_TAMP2F));
<> 156:95d6b41a828b 2811 }
<> 156:95d6b41a828b 2812 #endif /* RTC_TAMPER2_SUPPORT */
<> 156:95d6b41a828b 2813
<> 156:95d6b41a828b 2814 #if defined(RTC_TAMPER1_SUPPORT)
<> 156:95d6b41a828b 2815 /**
<> 156:95d6b41a828b 2816 * @brief Get RTC_TAMP1 detection flag
<> 156:95d6b41a828b 2817 * @rmtoll ISR TAMP1F LL_RTC_IsActiveFlag_TAMP1
<> 156:95d6b41a828b 2818 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2819 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 2820 */
<> 156:95d6b41a828b 2821 __STATIC_INLINE uint32_t LL_RTC_IsActiveFlag_TAMP1(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2822 {
<> 156:95d6b41a828b 2823 return (READ_BIT(RTCx->ISR, RTC_ISR_TAMP1F) == (RTC_ISR_TAMP1F));
<> 156:95d6b41a828b 2824 }
<> 156:95d6b41a828b 2825 #endif /* RTC_TAMPER1_SUPPORT */
<> 156:95d6b41a828b 2826
<> 156:95d6b41a828b 2827 /**
<> 156:95d6b41a828b 2828 * @brief Get Time-stamp overflow flag
<> 156:95d6b41a828b 2829 * @rmtoll ISR TSOVF LL_RTC_IsActiveFlag_TSOV
<> 156:95d6b41a828b 2830 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2831 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 2832 */
<> 156:95d6b41a828b 2833 __STATIC_INLINE uint32_t LL_RTC_IsActiveFlag_TSOV(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2834 {
<> 156:95d6b41a828b 2835 return (READ_BIT(RTCx->ISR, RTC_ISR_TSOVF) == (RTC_ISR_TSOVF));
<> 156:95d6b41a828b 2836 }
<> 156:95d6b41a828b 2837
<> 156:95d6b41a828b 2838 /**
<> 156:95d6b41a828b 2839 * @brief Get Time-stamp flag
<> 156:95d6b41a828b 2840 * @rmtoll ISR TSF LL_RTC_IsActiveFlag_TS
<> 156:95d6b41a828b 2841 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2842 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 2843 */
<> 156:95d6b41a828b 2844 __STATIC_INLINE uint32_t LL_RTC_IsActiveFlag_TS(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2845 {
<> 156:95d6b41a828b 2846 return (READ_BIT(RTCx->ISR, RTC_ISR_TSF) == (RTC_ISR_TSF));
<> 156:95d6b41a828b 2847 }
<> 156:95d6b41a828b 2848
<> 156:95d6b41a828b 2849 #if defined(RTC_WAKEUP_SUPPORT)
<> 156:95d6b41a828b 2850 /**
<> 156:95d6b41a828b 2851 * @brief Get Wakeup timer flag
<> 156:95d6b41a828b 2852 * @rmtoll ISR WUTF LL_RTC_IsActiveFlag_WUT
<> 156:95d6b41a828b 2853 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2854 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 2855 */
<> 156:95d6b41a828b 2856 __STATIC_INLINE uint32_t LL_RTC_IsActiveFlag_WUT(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2857 {
<> 156:95d6b41a828b 2858 return (READ_BIT(RTCx->ISR, RTC_ISR_WUTF) == (RTC_ISR_WUTF));
<> 156:95d6b41a828b 2859 }
<> 156:95d6b41a828b 2860 #endif /* RTC_WAKEUP_SUPPORT */
<> 156:95d6b41a828b 2861
<> 156:95d6b41a828b 2862 /**
<> 156:95d6b41a828b 2863 * @brief Get Alarm A flag
<> 156:95d6b41a828b 2864 * @rmtoll ISR ALRAF LL_RTC_IsActiveFlag_ALRA
<> 156:95d6b41a828b 2865 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2866 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 2867 */
<> 156:95d6b41a828b 2868 __STATIC_INLINE uint32_t LL_RTC_IsActiveFlag_ALRA(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2869 {
<> 156:95d6b41a828b 2870 return (READ_BIT(RTCx->ISR, RTC_ISR_ALRAF) == (RTC_ISR_ALRAF));
<> 156:95d6b41a828b 2871 }
<> 156:95d6b41a828b 2872
<> 156:95d6b41a828b 2873 #if defined(RTC_TAMPER3_SUPPORT)
<> 156:95d6b41a828b 2874 /**
<> 156:95d6b41a828b 2875 * @brief Clear RTC_TAMP3 detection flag
<> 156:95d6b41a828b 2876 * @rmtoll ISR TAMP3F LL_RTC_ClearFlag_TAMP3
<> 156:95d6b41a828b 2877 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2878 * @retval None
<> 156:95d6b41a828b 2879 */
<> 156:95d6b41a828b 2880 __STATIC_INLINE void LL_RTC_ClearFlag_TAMP3(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2881 {
<> 156:95d6b41a828b 2882 WRITE_REG(RTCx->ISR, (~((RTC_ISR_TAMP3F | RTC_ISR_INIT) & 0x0000FFFFU) | (RTCx->ISR & RTC_ISR_INIT)));
<> 156:95d6b41a828b 2883 }
<> 156:95d6b41a828b 2884 #endif /* RTC_TAMPER3_SUPPORT */
<> 156:95d6b41a828b 2885
<> 156:95d6b41a828b 2886 #if defined(RTC_TAMPER2_SUPPORT)
<> 156:95d6b41a828b 2887 /**
<> 156:95d6b41a828b 2888 * @brief Clear RTC_TAMP2 detection flag
<> 156:95d6b41a828b 2889 * @rmtoll ISR TAMP2F LL_RTC_ClearFlag_TAMP2
<> 156:95d6b41a828b 2890 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2891 * @retval None
<> 156:95d6b41a828b 2892 */
<> 156:95d6b41a828b 2893 __STATIC_INLINE void LL_RTC_ClearFlag_TAMP2(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2894 {
<> 156:95d6b41a828b 2895 WRITE_REG(RTCx->ISR, (~((RTC_ISR_TAMP2F | RTC_ISR_INIT) & 0x0000FFFFU) | (RTCx->ISR & RTC_ISR_INIT)));
<> 156:95d6b41a828b 2896 }
<> 156:95d6b41a828b 2897 #endif /* RTC_TAMPER2_SUPPORT */
<> 156:95d6b41a828b 2898
<> 156:95d6b41a828b 2899 #if defined(RTC_TAMPER1_SUPPORT)
<> 156:95d6b41a828b 2900 /**
<> 156:95d6b41a828b 2901 * @brief Clear RTC_TAMP1 detection flag
<> 156:95d6b41a828b 2902 * @rmtoll ISR TAMP1F LL_RTC_ClearFlag_TAMP1
<> 156:95d6b41a828b 2903 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2904 * @retval None
<> 156:95d6b41a828b 2905 */
<> 156:95d6b41a828b 2906 __STATIC_INLINE void LL_RTC_ClearFlag_TAMP1(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2907 {
<> 156:95d6b41a828b 2908 WRITE_REG(RTCx->ISR, (~((RTC_ISR_TAMP1F | RTC_ISR_INIT) & 0x0000FFFFU) | (RTCx->ISR & RTC_ISR_INIT)));
<> 156:95d6b41a828b 2909 }
<> 156:95d6b41a828b 2910 #endif /* RTC_TAMPER1_SUPPORT */
<> 156:95d6b41a828b 2911
<> 156:95d6b41a828b 2912 /**
<> 156:95d6b41a828b 2913 * @brief Clear Time-stamp overflow flag
<> 156:95d6b41a828b 2914 * @rmtoll ISR TSOVF LL_RTC_ClearFlag_TSOV
<> 156:95d6b41a828b 2915 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2916 * @retval None
<> 156:95d6b41a828b 2917 */
<> 156:95d6b41a828b 2918 __STATIC_INLINE void LL_RTC_ClearFlag_TSOV(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2919 {
<> 156:95d6b41a828b 2920 WRITE_REG(RTCx->ISR, (~((RTC_ISR_TSOVF | RTC_ISR_INIT) & 0x0000FFFFU) | (RTCx->ISR & RTC_ISR_INIT)));
<> 156:95d6b41a828b 2921 }
<> 156:95d6b41a828b 2922
<> 156:95d6b41a828b 2923 /**
<> 156:95d6b41a828b 2924 * @brief Clear Time-stamp flag
<> 156:95d6b41a828b 2925 * @rmtoll ISR TSF LL_RTC_ClearFlag_TS
<> 156:95d6b41a828b 2926 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2927 * @retval None
<> 156:95d6b41a828b 2928 */
<> 156:95d6b41a828b 2929 __STATIC_INLINE void LL_RTC_ClearFlag_TS(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2930 {
<> 156:95d6b41a828b 2931 WRITE_REG(RTCx->ISR, (~((RTC_ISR_TSF | RTC_ISR_INIT) & 0x0000FFFFU) | (RTCx->ISR & RTC_ISR_INIT)));
<> 156:95d6b41a828b 2932 }
<> 156:95d6b41a828b 2933
<> 156:95d6b41a828b 2934 #if defined(RTC_WAKEUP_SUPPORT)
<> 156:95d6b41a828b 2935 /**
<> 156:95d6b41a828b 2936 * @brief Clear Wakeup timer flag
<> 156:95d6b41a828b 2937 * @rmtoll ISR WUTF LL_RTC_ClearFlag_WUT
<> 156:95d6b41a828b 2938 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2939 * @retval None
<> 156:95d6b41a828b 2940 */
<> 156:95d6b41a828b 2941 __STATIC_INLINE void LL_RTC_ClearFlag_WUT(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2942 {
<> 156:95d6b41a828b 2943 WRITE_REG(RTCx->ISR, (~((RTC_ISR_WUTF | RTC_ISR_INIT) & 0x0000FFFFU) | (RTCx->ISR & RTC_ISR_INIT)));
<> 156:95d6b41a828b 2944 }
<> 156:95d6b41a828b 2945 #endif /* RTC_WAKEUP_SUPPORT */
<> 156:95d6b41a828b 2946
<> 156:95d6b41a828b 2947 /**
<> 156:95d6b41a828b 2948 * @brief Clear Alarm A flag
<> 156:95d6b41a828b 2949 * @rmtoll ISR ALRAF LL_RTC_ClearFlag_ALRA
<> 156:95d6b41a828b 2950 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2951 * @retval None
<> 156:95d6b41a828b 2952 */
<> 156:95d6b41a828b 2953 __STATIC_INLINE void LL_RTC_ClearFlag_ALRA(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2954 {
<> 156:95d6b41a828b 2955 WRITE_REG(RTCx->ISR, (~((RTC_ISR_ALRAF | RTC_ISR_INIT) & 0x0000FFFFU) | (RTCx->ISR & RTC_ISR_INIT)));
<> 156:95d6b41a828b 2956 }
<> 156:95d6b41a828b 2957
<> 156:95d6b41a828b 2958 /**
<> 156:95d6b41a828b 2959 * @brief Get Initialization flag
<> 156:95d6b41a828b 2960 * @rmtoll ISR INITF LL_RTC_IsActiveFlag_INIT
<> 156:95d6b41a828b 2961 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2962 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 2963 */
<> 156:95d6b41a828b 2964 __STATIC_INLINE uint32_t LL_RTC_IsActiveFlag_INIT(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2965 {
<> 156:95d6b41a828b 2966 return (READ_BIT(RTCx->ISR, RTC_ISR_INITF) == (RTC_ISR_INITF));
<> 156:95d6b41a828b 2967 }
<> 156:95d6b41a828b 2968
<> 156:95d6b41a828b 2969 /**
<> 156:95d6b41a828b 2970 * @brief Get Registers synchronization flag
<> 156:95d6b41a828b 2971 * @rmtoll ISR RSF LL_RTC_IsActiveFlag_RS
<> 156:95d6b41a828b 2972 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2973 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 2974 */
<> 156:95d6b41a828b 2975 __STATIC_INLINE uint32_t LL_RTC_IsActiveFlag_RS(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2976 {
<> 156:95d6b41a828b 2977 return (READ_BIT(RTCx->ISR, RTC_ISR_RSF) == (RTC_ISR_RSF));
<> 156:95d6b41a828b 2978 }
<> 156:95d6b41a828b 2979
<> 156:95d6b41a828b 2980 /**
<> 156:95d6b41a828b 2981 * @brief Clear Registers synchronization flag
<> 156:95d6b41a828b 2982 * @rmtoll ISR RSF LL_RTC_ClearFlag_RS
<> 156:95d6b41a828b 2983 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2984 * @retval None
<> 156:95d6b41a828b 2985 */
<> 156:95d6b41a828b 2986 __STATIC_INLINE void LL_RTC_ClearFlag_RS(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2987 {
<> 156:95d6b41a828b 2988 WRITE_REG(RTCx->ISR, (~((RTC_ISR_RSF | RTC_ISR_INIT) & 0x0000FFFFU) | (RTCx->ISR & RTC_ISR_INIT)));
<> 156:95d6b41a828b 2989 }
<> 156:95d6b41a828b 2990
<> 156:95d6b41a828b 2991 /**
<> 156:95d6b41a828b 2992 * @brief Get Initialization status flag
<> 156:95d6b41a828b 2993 * @rmtoll ISR INITS LL_RTC_IsActiveFlag_INITS
<> 156:95d6b41a828b 2994 * @param RTCx RTC Instance
<> 156:95d6b41a828b 2995 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 2996 */
<> 156:95d6b41a828b 2997 __STATIC_INLINE uint32_t LL_RTC_IsActiveFlag_INITS(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 2998 {
<> 156:95d6b41a828b 2999 return (READ_BIT(RTCx->ISR, RTC_ISR_INITS) == (RTC_ISR_INITS));
<> 156:95d6b41a828b 3000 }
<> 156:95d6b41a828b 3001
<> 156:95d6b41a828b 3002 /**
<> 156:95d6b41a828b 3003 * @brief Get Shift operation pending flag
<> 156:95d6b41a828b 3004 * @rmtoll ISR SHPF LL_RTC_IsActiveFlag_SHP
<> 156:95d6b41a828b 3005 * @param RTCx RTC Instance
<> 156:95d6b41a828b 3006 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 3007 */
<> 156:95d6b41a828b 3008 __STATIC_INLINE uint32_t LL_RTC_IsActiveFlag_SHP(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 3009 {
<> 156:95d6b41a828b 3010 return (READ_BIT(RTCx->ISR, RTC_ISR_SHPF) == (RTC_ISR_SHPF));
<> 156:95d6b41a828b 3011 }
<> 156:95d6b41a828b 3012
<> 156:95d6b41a828b 3013 #if defined(RTC_WAKEUP_SUPPORT)
<> 156:95d6b41a828b 3014 /**
<> 156:95d6b41a828b 3015 * @brief Get Wakeup timer write flag
<> 156:95d6b41a828b 3016 * @rmtoll ISR WUTWF LL_RTC_IsActiveFlag_WUTW
<> 156:95d6b41a828b 3017 * @param RTCx RTC Instance
<> 156:95d6b41a828b 3018 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 3019 */
<> 156:95d6b41a828b 3020 __STATIC_INLINE uint32_t LL_RTC_IsActiveFlag_WUTW(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 3021 {
<> 156:95d6b41a828b 3022 return (READ_BIT(RTCx->ISR, RTC_ISR_WUTWF) == (RTC_ISR_WUTWF));
<> 156:95d6b41a828b 3023 }
<> 156:95d6b41a828b 3024 #endif /* RTC_WAKEUP_SUPPORT */
<> 156:95d6b41a828b 3025
<> 156:95d6b41a828b 3026 /**
<> 156:95d6b41a828b 3027 * @brief Get Alarm A write flag
<> 156:95d6b41a828b 3028 * @rmtoll ISR ALRAWF LL_RTC_IsActiveFlag_ALRAW
<> 156:95d6b41a828b 3029 * @param RTCx RTC Instance
<> 156:95d6b41a828b 3030 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 3031 */
<> 156:95d6b41a828b 3032 __STATIC_INLINE uint32_t LL_RTC_IsActiveFlag_ALRAW(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 3033 {
<> 156:95d6b41a828b 3034 return (READ_BIT(RTCx->ISR, RTC_ISR_ALRAWF) == (RTC_ISR_ALRAWF));
<> 156:95d6b41a828b 3035 }
<> 156:95d6b41a828b 3036
<> 156:95d6b41a828b 3037 /**
<> 156:95d6b41a828b 3038 * @}
<> 156:95d6b41a828b 3039 */
<> 156:95d6b41a828b 3040
<> 156:95d6b41a828b 3041 /** @defgroup RTC_LL_EF_IT_Management IT_Management
<> 156:95d6b41a828b 3042 * @{
<> 156:95d6b41a828b 3043 */
<> 156:95d6b41a828b 3044
<> 156:95d6b41a828b 3045 /**
<> 156:95d6b41a828b 3046 * @brief Enable Time-stamp interrupt
<> 156:95d6b41a828b 3047 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 3048 * @rmtoll CR TSIE LL_RTC_EnableIT_TS
<> 156:95d6b41a828b 3049 * @param RTCx RTC Instance
<> 156:95d6b41a828b 3050 * @retval None
<> 156:95d6b41a828b 3051 */
<> 156:95d6b41a828b 3052 __STATIC_INLINE void LL_RTC_EnableIT_TS(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 3053 {
<> 156:95d6b41a828b 3054 SET_BIT(RTCx->CR, RTC_CR_TSIE);
<> 156:95d6b41a828b 3055 }
<> 156:95d6b41a828b 3056
<> 156:95d6b41a828b 3057 /**
<> 156:95d6b41a828b 3058 * @brief Disable Time-stamp interrupt
<> 156:95d6b41a828b 3059 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 3060 * @rmtoll CR TSIE LL_RTC_DisableIT_TS
<> 156:95d6b41a828b 3061 * @param RTCx RTC Instance
<> 156:95d6b41a828b 3062 * @retval None
<> 156:95d6b41a828b 3063 */
<> 156:95d6b41a828b 3064 __STATIC_INLINE void LL_RTC_DisableIT_TS(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 3065 {
<> 156:95d6b41a828b 3066 CLEAR_BIT(RTCx->CR, RTC_CR_TSIE);
<> 156:95d6b41a828b 3067 }
<> 156:95d6b41a828b 3068
<> 156:95d6b41a828b 3069 #if defined(RTC_WAKEUP_SUPPORT)
<> 156:95d6b41a828b 3070 /**
<> 156:95d6b41a828b 3071 * @brief Enable Wakeup timer interrupt
<> 156:95d6b41a828b 3072 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 3073 * @rmtoll CR WUTIE LL_RTC_EnableIT_WUT
<> 156:95d6b41a828b 3074 * @param RTCx RTC Instance
<> 156:95d6b41a828b 3075 * @retval None
<> 156:95d6b41a828b 3076 */
<> 156:95d6b41a828b 3077 __STATIC_INLINE void LL_RTC_EnableIT_WUT(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 3078 {
<> 156:95d6b41a828b 3079 SET_BIT(RTCx->CR, RTC_CR_WUTIE);
<> 156:95d6b41a828b 3080 }
<> 156:95d6b41a828b 3081
<> 156:95d6b41a828b 3082 /**
<> 156:95d6b41a828b 3083 * @brief Disable Wakeup timer interrupt
<> 156:95d6b41a828b 3084 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 3085 * @rmtoll CR WUTIE LL_RTC_DisableIT_WUT
<> 156:95d6b41a828b 3086 * @param RTCx RTC Instance
<> 156:95d6b41a828b 3087 * @retval None
<> 156:95d6b41a828b 3088 */
<> 156:95d6b41a828b 3089 __STATIC_INLINE void LL_RTC_DisableIT_WUT(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 3090 {
<> 156:95d6b41a828b 3091 CLEAR_BIT(RTCx->CR, RTC_CR_WUTIE);
<> 156:95d6b41a828b 3092 }
<> 156:95d6b41a828b 3093 #endif /* RTC_WAKEUP_SUPPORT */
<> 156:95d6b41a828b 3094
<> 156:95d6b41a828b 3095 /**
<> 156:95d6b41a828b 3096 * @brief Enable Alarm A interrupt
<> 156:95d6b41a828b 3097 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 3098 * @rmtoll CR ALRAIE LL_RTC_EnableIT_ALRA
<> 156:95d6b41a828b 3099 * @param RTCx RTC Instance
<> 156:95d6b41a828b 3100 * @retval None
<> 156:95d6b41a828b 3101 */
<> 156:95d6b41a828b 3102 __STATIC_INLINE void LL_RTC_EnableIT_ALRA(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 3103 {
<> 156:95d6b41a828b 3104 SET_BIT(RTCx->CR, RTC_CR_ALRAIE);
<> 156:95d6b41a828b 3105 }
<> 156:95d6b41a828b 3106
<> 156:95d6b41a828b 3107 /**
<> 156:95d6b41a828b 3108 * @brief Disable Alarm A interrupt
<> 156:95d6b41a828b 3109 * @note Bit is write-protected. @ref LL_RTC_DisableWriteProtection function should be called before.
<> 156:95d6b41a828b 3110 * @rmtoll CR ALRAIE LL_RTC_DisableIT_ALRA
<> 156:95d6b41a828b 3111 * @param RTCx RTC Instance
<> 156:95d6b41a828b 3112 * @retval None
<> 156:95d6b41a828b 3113 */
<> 156:95d6b41a828b 3114 __STATIC_INLINE void LL_RTC_DisableIT_ALRA(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 3115 {
<> 156:95d6b41a828b 3116 CLEAR_BIT(RTCx->CR, RTC_CR_ALRAIE);
<> 156:95d6b41a828b 3117 }
<> 156:95d6b41a828b 3118
<> 156:95d6b41a828b 3119 /**
<> 156:95d6b41a828b 3120 * @brief Enable all Tamper Interrupt
<> 156:95d6b41a828b 3121 * @rmtoll TAFCR TAMPIE LL_RTC_EnableIT_TAMP
<> 156:95d6b41a828b 3122 * @param RTCx RTC Instance
<> 156:95d6b41a828b 3123 * @retval None
<> 156:95d6b41a828b 3124 */
<> 156:95d6b41a828b 3125 __STATIC_INLINE void LL_RTC_EnableIT_TAMP(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 3126 {
<> 156:95d6b41a828b 3127 SET_BIT(RTCx->TAFCR, RTC_TAFCR_TAMPIE);
<> 156:95d6b41a828b 3128 }
<> 156:95d6b41a828b 3129
<> 156:95d6b41a828b 3130 /**
<> 156:95d6b41a828b 3131 * @brief Disable all Tamper Interrupt
<> 156:95d6b41a828b 3132 * @rmtoll TAFCR TAMPIE LL_RTC_DisableIT_TAMP
<> 156:95d6b41a828b 3133 * @param RTCx RTC Instance
<> 156:95d6b41a828b 3134 * @retval None
<> 156:95d6b41a828b 3135 */
<> 156:95d6b41a828b 3136 __STATIC_INLINE void LL_RTC_DisableIT_TAMP(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 3137 {
<> 156:95d6b41a828b 3138 CLEAR_BIT(RTCx->TAFCR, RTC_TAFCR_TAMPIE);
<> 156:95d6b41a828b 3139 }
<> 156:95d6b41a828b 3140
<> 156:95d6b41a828b 3141 /**
<> 156:95d6b41a828b 3142 * @brief Check if Time-stamp interrupt is enabled or not
<> 156:95d6b41a828b 3143 * @rmtoll CR TSIE LL_RTC_IsEnabledIT_TS
<> 156:95d6b41a828b 3144 * @param RTCx RTC Instance
<> 156:95d6b41a828b 3145 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 3146 */
<> 156:95d6b41a828b 3147 __STATIC_INLINE uint32_t LL_RTC_IsEnabledIT_TS(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 3148 {
<> 156:95d6b41a828b 3149 return (READ_BIT(RTCx->CR, RTC_CR_TSIE) == (RTC_CR_TSIE));
<> 156:95d6b41a828b 3150 }
<> 156:95d6b41a828b 3151
<> 156:95d6b41a828b 3152 #if defined(RTC_WAKEUP_SUPPORT)
<> 156:95d6b41a828b 3153 /**
<> 156:95d6b41a828b 3154 * @brief Check if Wakeup timer interrupt is enabled or not
<> 156:95d6b41a828b 3155 * @rmtoll CR WUTIE LL_RTC_IsEnabledIT_WUT
<> 156:95d6b41a828b 3156 * @param RTCx RTC Instance
<> 156:95d6b41a828b 3157 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 3158 */
<> 156:95d6b41a828b 3159 __STATIC_INLINE uint32_t LL_RTC_IsEnabledIT_WUT(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 3160 {
<> 156:95d6b41a828b 3161 return (READ_BIT(RTCx->CR, RTC_CR_WUTIE) == (RTC_CR_WUTIE));
<> 156:95d6b41a828b 3162 }
<> 156:95d6b41a828b 3163 #endif /* RTC_WAKEUP_SUPPORT */
<> 156:95d6b41a828b 3164
<> 156:95d6b41a828b 3165 /**
<> 156:95d6b41a828b 3166 * @brief Check if Alarm A interrupt is enabled or not
<> 156:95d6b41a828b 3167 * @rmtoll CR ALRAIE LL_RTC_IsEnabledIT_ALRA
<> 156:95d6b41a828b 3168 * @param RTCx RTC Instance
<> 156:95d6b41a828b 3169 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 3170 */
<> 156:95d6b41a828b 3171 __STATIC_INLINE uint32_t LL_RTC_IsEnabledIT_ALRA(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 3172 {
<> 156:95d6b41a828b 3173 return (READ_BIT(RTCx->CR, RTC_CR_ALRAIE) == (RTC_CR_ALRAIE));
<> 156:95d6b41a828b 3174 }
<> 156:95d6b41a828b 3175
<> 156:95d6b41a828b 3176 /**
<> 156:95d6b41a828b 3177 * @brief Check if all the TAMPER interrupts are enabled or not
<> 156:95d6b41a828b 3178 * @rmtoll TAFCR TAMPIE LL_RTC_IsEnabledIT_TAMP
<> 156:95d6b41a828b 3179 * @param RTCx RTC Instance
<> 156:95d6b41a828b 3180 * @retval State of bit (1 or 0).
<> 156:95d6b41a828b 3181 */
<> 156:95d6b41a828b 3182 __STATIC_INLINE uint32_t LL_RTC_IsEnabledIT_TAMP(RTC_TypeDef *RTCx)
<> 156:95d6b41a828b 3183 {
<> 156:95d6b41a828b 3184 return (READ_BIT(RTCx->TAFCR,
<> 156:95d6b41a828b 3185 RTC_TAFCR_TAMPIE) == (RTC_TAFCR_TAMPIE));
<> 156:95d6b41a828b 3186 }
<> 156:95d6b41a828b 3187
<> 156:95d6b41a828b 3188 /**
<> 156:95d6b41a828b 3189 * @}
<> 156:95d6b41a828b 3190 */
<> 156:95d6b41a828b 3191
<> 156:95d6b41a828b 3192 #if defined(USE_FULL_LL_DRIVER)
<> 156:95d6b41a828b 3193 /** @defgroup RTC_LL_EF_Init Initialization and de-initialization functions
<> 156:95d6b41a828b 3194 * @{
<> 156:95d6b41a828b 3195 */
<> 156:95d6b41a828b 3196
<> 156:95d6b41a828b 3197 ErrorStatus LL_RTC_DeInit(RTC_TypeDef *RTCx);
<> 156:95d6b41a828b 3198 ErrorStatus LL_RTC_Init(RTC_TypeDef *RTCx, LL_RTC_InitTypeDef *RTC_InitStruct);
<> 156:95d6b41a828b 3199 void LL_RTC_StructInit(LL_RTC_InitTypeDef *RTC_InitStruct);
<> 156:95d6b41a828b 3200 ErrorStatus LL_RTC_TIME_Init(RTC_TypeDef *RTCx, uint32_t RTC_Format, LL_RTC_TimeTypeDef *RTC_TimeStruct);
<> 156:95d6b41a828b 3201 void LL_RTC_TIME_StructInit(LL_RTC_TimeTypeDef *RTC_TimeStruct);
<> 156:95d6b41a828b 3202 ErrorStatus LL_RTC_DATE_Init(RTC_TypeDef *RTCx, uint32_t RTC_Format, LL_RTC_DateTypeDef *RTC_DateStruct);
<> 156:95d6b41a828b 3203 void LL_RTC_DATE_StructInit(LL_RTC_DateTypeDef *RTC_DateStruct);
<> 156:95d6b41a828b 3204 ErrorStatus LL_RTC_ALMA_Init(RTC_TypeDef *RTCx, uint32_t RTC_Format, LL_RTC_AlarmTypeDef *RTC_AlarmStruct);
<> 156:95d6b41a828b 3205 void LL_RTC_ALMA_StructInit(LL_RTC_AlarmTypeDef *RTC_AlarmStruct);
<> 156:95d6b41a828b 3206 ErrorStatus LL_RTC_EnterInitMode(RTC_TypeDef *RTCx);
<> 156:95d6b41a828b 3207 ErrorStatus LL_RTC_ExitInitMode(RTC_TypeDef *RTCx);
<> 156:95d6b41a828b 3208 ErrorStatus LL_RTC_WaitForSynchro(RTC_TypeDef *RTCx);
<> 156:95d6b41a828b 3209
<> 156:95d6b41a828b 3210 /**
<> 156:95d6b41a828b 3211 * @}
<> 156:95d6b41a828b 3212 */
<> 156:95d6b41a828b 3213 #endif /* USE_FULL_LL_DRIVER */
<> 156:95d6b41a828b 3214
<> 156:95d6b41a828b 3215 /**
<> 156:95d6b41a828b 3216 * @}
<> 156:95d6b41a828b 3217 */
<> 156:95d6b41a828b 3218
<> 156:95d6b41a828b 3219 /**
<> 156:95d6b41a828b 3220 * @}
<> 156:95d6b41a828b 3221 */
<> 156:95d6b41a828b 3222
<> 156:95d6b41a828b 3223 #endif /* defined(RTC) */
<> 156:95d6b41a828b 3224
<> 156:95d6b41a828b 3225 /**
<> 156:95d6b41a828b 3226 * @}
<> 156:95d6b41a828b 3227 */
<> 156:95d6b41a828b 3228
<> 156:95d6b41a828b 3229 #ifdef __cplusplus
<> 156:95d6b41a828b 3230 }
<> 156:95d6b41a828b 3231 #endif
<> 156:95d6b41a828b 3232
<> 156:95d6b41a828b 3233 #endif /* __STM32F0xx_LL_RTC_H */
<> 156:95d6b41a828b 3234
<> 156:95d6b41a828b 3235 /************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/