Mirror with some correction

Dependencies:   mbed FastIO FastPWM USBDevice

Committer:
mjr
Date:
Sat Jan 21 19:48:30 2017 +0000
Revision:
73:4e8ce0b18915
Parent:
68:998faf685b00
Child:
75:677892300e7a
Add protocol commands for TV ON and button testers; add free memory status reporting; improve button scan interrupt speed; reduce button memory footprint slightly; further improve TSL1410R "scan mode 2" speed

Who changed what in which revision?

UserRevisionLine numberNew contents of line
mjr 3:3514575d4f86 1 /* Copyright (c) 2010-2011 mbed.org, MIT License
mjr 3:3514575d4f86 2 * Modified Mouse code for Joystick - WH 2012
mjr 3:3514575d4f86 3 *
mjr 3:3514575d4f86 4 * Permission is hereby granted, free of charge, to any person obtaining a copy of this software
mjr 3:3514575d4f86 5 * and associated documentation files (the "Software"), to deal in the Software without
mjr 3:3514575d4f86 6 * restriction, including without limitation the rights to use, copy, modify, merge, publish,
mjr 3:3514575d4f86 7 * distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the
mjr 3:3514575d4f86 8 * Software is furnished to do so, subject to the following conditions:
mjr 3:3514575d4f86 9 *
mjr 3:3514575d4f86 10 * The above copyright notice and this permission notice shall be included in all copies or
mjr 3:3514575d4f86 11 * substantial portions of the Software.
mjr 3:3514575d4f86 12 *
mjr 3:3514575d4f86 13 * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING
mjr 3:3514575d4f86 14 * BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND
mjr 3:3514575d4f86 15 * NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM,
mjr 3:3514575d4f86 16 * DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
mjr 3:3514575d4f86 17 * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
mjr 3:3514575d4f86 18 */
mjr 3:3514575d4f86 19
mjr 3:3514575d4f86 20 #include "stdint.h"
mjr 3:3514575d4f86 21 #include "USBJoystick.h"
mjr 21:5048e16cc9ef 22
mjr 21:5048e16cc9ef 23 #include "config.h" // Pinscape configuration
mjr 21:5048e16cc9ef 24
mjr 35:e959ffba78fd 25
mjr 35:e959ffba78fd 26
mjr 21:5048e16cc9ef 27 // Length of our joystick reports. Important: This must be kept in sync
mjr 21:5048e16cc9ef 28 // with the actual joystick report format sent in update().
mjr 21:5048e16cc9ef 29 const int reportLen = 14;
mjr 21:5048e16cc9ef 30
mjr 48:058ace2aed1d 31 // Maximum report sizes
mjr 48:058ace2aed1d 32 const int MAX_REPORT_JS_TX = reportLen;
mjr 48:058ace2aed1d 33 const int MAX_REPORT_JS_RX = 8;
mjr 63:5cd1a5f3a41b 34 const int MAX_REPORT_KB_TX = 8;
mjr 63:5cd1a5f3a41b 35 const int MAX_REPORT_KB_RX = 4;
mjr 48:058ace2aed1d 36
mjr 11:bd9da7088e6e 37 bool USBJoystick::update(int16_t x, int16_t y, int16_t z, uint32_t buttons, uint16_t status)
mjr 3:3514575d4f86 38 {
mjr 3:3514575d4f86 39 _x = x;
mjr 3:3514575d4f86 40 _y = y;
mjr 3:3514575d4f86 41 _z = z;
mjr 11:bd9da7088e6e 42 _buttonsLo = (uint16_t)(buttons & 0xffff);
mjr 11:bd9da7088e6e 43 _buttonsHi = (uint16_t)((buttons >> 16) & 0xffff);
mjr 10:976666ffa4ef 44 _status = status;
mjr 3:3514575d4f86 45
mjr 3:3514575d4f86 46 // send the report
mjr 3:3514575d4f86 47 return update();
mjr 3:3514575d4f86 48 }
mjr 35:e959ffba78fd 49
mjr 11:bd9da7088e6e 50 bool USBJoystick::update()
mjr 11:bd9da7088e6e 51 {
mjr 3:3514575d4f86 52 HID_REPORT report;
mjr 63:5cd1a5f3a41b 53
mjr 3:3514575d4f86 54 // Fill the report according to the Joystick Descriptor
mjr 6:cc35eb643e8f 55 #define put(idx, val) (report.data[idx] = (val) & 0xff, report.data[(idx)+1] = ((val) >> 8) & 0xff)
mjr 53:9b2611964afc 56 #define putbe(idx, val) (report.data[(idx)+1] = (val) & 0xff, report.data[idx] = ((val) >> 8) & 0xff)
mjr 40:cc0d9814522b 57 #define putl(idx, val) (put(idx, val), put((idx)+2, (val) >> 16))
mjr 54:fd77a6b2f76c 58 #define putlbe(idx, val) (putbe((idx)+2, val), putbe(idx, (val) >> 16))
mjr 63:5cd1a5f3a41b 59 put(0, _status);
mjr 63:5cd1a5f3a41b 60 put(2, 0); // second word of status - zero in high bit identifies as normal joystick report
mjr 63:5cd1a5f3a41b 61 put(4, _buttonsLo);
mjr 63:5cd1a5f3a41b 62 put(6, _buttonsHi);
mjr 63:5cd1a5f3a41b 63 put(8, _x);
mjr 63:5cd1a5f3a41b 64 put(10, _y);
mjr 63:5cd1a5f3a41b 65 put(12, _z);
mjr 21:5048e16cc9ef 66
mjr 21:5048e16cc9ef 67 // important: keep reportLen in sync with the actual byte length of
mjr 21:5048e16cc9ef 68 // the reports we build here
mjr 63:5cd1a5f3a41b 69 report.length = reportLen;
mjr 3:3514575d4f86 70
mjr 5:a70c0bce770d 71 // send the report
mjr 10:976666ffa4ef 72 return sendTO(&report, 100);
mjr 10:976666ffa4ef 73 }
mjr 10:976666ffa4ef 74
mjr 35:e959ffba78fd 75 bool USBJoystick::kbUpdate(uint8_t data[8])
mjr 35:e959ffba78fd 76 {
mjr 35:e959ffba78fd 77 // set up the report
mjr 35:e959ffba78fd 78 HID_REPORT report;
mjr 35:e959ffba78fd 79 report.data[0] = REPORT_ID_KB; // report ID = keyboard
mjr 35:e959ffba78fd 80 memcpy(&report.data[1], data, 8); // copy the kb report data
mjr 35:e959ffba78fd 81 report.length = 9; // length = ID prefix + kb report length
mjr 35:e959ffba78fd 82
mjr 35:e959ffba78fd 83 // send it to endpoint 4 (the keyboard interface endpoint)
mjr 35:e959ffba78fd 84 return writeTO(EP4IN, report.data, report.length, MAX_PACKET_SIZE_EPINT, 100);
mjr 35:e959ffba78fd 85 }
mjr 35:e959ffba78fd 86
mjr 35:e959ffba78fd 87 bool USBJoystick::mediaUpdate(uint8_t data)
mjr 35:e959ffba78fd 88 {
mjr 35:e959ffba78fd 89 // set up the report
mjr 35:e959ffba78fd 90 HID_REPORT report;
mjr 35:e959ffba78fd 91 report.data[0] = REPORT_ID_MEDIA; // report ID = media
mjr 35:e959ffba78fd 92 report.data[1] = data; // key pressed bits
mjr 35:e959ffba78fd 93 report.length = 2;
mjr 35:e959ffba78fd 94
mjr 35:e959ffba78fd 95 // send it
mjr 35:e959ffba78fd 96 return writeTO(EP4IN, report.data, report.length, MAX_PACKET_SIZE_EPINT, 100);
mjr 35:e959ffba78fd 97 }
mjr 35:e959ffba78fd 98
mjr 52:8298b2a73eb2 99 bool USBJoystick::sendPlungerStatus(
mjr 52:8298b2a73eb2 100 int npix, int edgePos, int dir, uint32_t avgScanTime, uint32_t processingTime)
mjr 52:8298b2a73eb2 101 {
mjr 52:8298b2a73eb2 102 HID_REPORT report;
mjr 52:8298b2a73eb2 103
mjr 52:8298b2a73eb2 104 // Set the special status bits to indicate it's an extended
mjr 52:8298b2a73eb2 105 // exposure report.
mjr 63:5cd1a5f3a41b 106 put(0, 0x87FF);
mjr 52:8298b2a73eb2 107
mjr 52:8298b2a73eb2 108 // start at the second byte
mjr 63:5cd1a5f3a41b 109 int ofs = 2;
mjr 52:8298b2a73eb2 110
mjr 52:8298b2a73eb2 111 // write the report subtype (0) to byte 2
mjr 52:8298b2a73eb2 112 report.data[ofs++] = 0;
mjr 52:8298b2a73eb2 113
mjr 52:8298b2a73eb2 114 // write the number of pixels to bytes 3-4
mjr 52:8298b2a73eb2 115 put(ofs, uint16_t(npix));
mjr 52:8298b2a73eb2 116 ofs += 2;
mjr 52:8298b2a73eb2 117
mjr 52:8298b2a73eb2 118 // write the shadow edge position to bytes 5-6
mjr 52:8298b2a73eb2 119 put(ofs, uint16_t(edgePos));
mjr 52:8298b2a73eb2 120 ofs += 2;
mjr 52:8298b2a73eb2 121
mjr 52:8298b2a73eb2 122 // write the flags to byte 7
mjr 52:8298b2a73eb2 123 extern bool plungerCalMode;
mjr 52:8298b2a73eb2 124 uint8_t flags = 0;
mjr 52:8298b2a73eb2 125 if (dir == 1)
mjr 52:8298b2a73eb2 126 flags |= 0x01;
mjr 52:8298b2a73eb2 127 else if (dir == -1)
mjr 52:8298b2a73eb2 128 flags |= 0x02;
mjr 52:8298b2a73eb2 129 if (plungerCalMode)
mjr 52:8298b2a73eb2 130 flags |= 0x04;
mjr 52:8298b2a73eb2 131 report.data[ofs++] = flags;
mjr 52:8298b2a73eb2 132
mjr 52:8298b2a73eb2 133 // write the average scan time in 10us intervals to bytes 8-10
mjr 52:8298b2a73eb2 134 uint32_t t = uint32_t(avgScanTime / 10);
mjr 52:8298b2a73eb2 135 report.data[ofs++] = t & 0xff;
mjr 52:8298b2a73eb2 136 report.data[ofs++] = (t >> 8) & 0xff;
mjr 52:8298b2a73eb2 137 report.data[ofs++] = (t >> 16) & 0xff;
mjr 52:8298b2a73eb2 138
mjr 52:8298b2a73eb2 139 // write the processing time to bytes 11-13
mjr 52:8298b2a73eb2 140 t = uint32_t(processingTime / 10);
mjr 52:8298b2a73eb2 141 report.data[ofs++] = t & 0xff;
mjr 52:8298b2a73eb2 142 report.data[ofs++] = (t >> 8) & 0xff;
mjr 52:8298b2a73eb2 143 report.data[ofs++] = (t >> 16) & 0xff;
mjr 52:8298b2a73eb2 144
mjr 52:8298b2a73eb2 145 // send the report
mjr 63:5cd1a5f3a41b 146 report.length = reportLen;
mjr 52:8298b2a73eb2 147 return sendTO(&report, 100);
mjr 52:8298b2a73eb2 148 }
mjr 52:8298b2a73eb2 149
mjr 52:8298b2a73eb2 150 bool USBJoystick::sendPlungerPix(int &idx, int npix, const uint8_t *pix)
mjr 10:976666ffa4ef 151 {
mjr 10:976666ffa4ef 152 HID_REPORT report;
mjr 10:976666ffa4ef 153
mjr 10:976666ffa4ef 154 // Set the special status bits to indicate it's an exposure report.
mjr 10:976666ffa4ef 155 // The high 5 bits of the status word are set to 10000, and the
mjr 10:976666ffa4ef 156 // low 11 bits are the current pixel index.
mjr 10:976666ffa4ef 157 uint16_t s = idx | 0x8000;
mjr 63:5cd1a5f3a41b 158 put(0, s);
mjr 25:e22b88bd783a 159
mjr 25:e22b88bd783a 160 // start at the second byte
mjr 63:5cd1a5f3a41b 161 int ofs = 2;
mjr 25:e22b88bd783a 162
mjr 47:df7a88cd249c 163 // now fill out the remaining bytes with exposure values
mjr 63:5cd1a5f3a41b 164 report.length = reportLen;
mjr 47:df7a88cd249c 165 for ( ; ofs < report.length ; ++ofs)
mjr 47:df7a88cd249c 166 report.data[ofs] = (idx < npix ? pix[idx++] : 0);
mjr 10:976666ffa4ef 167
mjr 10:976666ffa4ef 168 // send the report
mjr 35:e959ffba78fd 169 return sendTO(&report, 100);
mjr 3:3514575d4f86 170 }
mjr 9:fd65b0a94720 171
mjr 53:9b2611964afc 172 bool USBJoystick::reportID(int index)
mjr 40:cc0d9814522b 173 {
mjr 40:cc0d9814522b 174 HID_REPORT report;
mjr 40:cc0d9814522b 175
mjr 40:cc0d9814522b 176 // initially fill the report with zeros
mjr 40:cc0d9814522b 177 memset(report.data, 0, sizeof(report.data));
mjr 40:cc0d9814522b 178
mjr 40:cc0d9814522b 179 // Set the special status bits to indicate that it's an ID report
mjr 40:cc0d9814522b 180 uint16_t s = 0x9000;
mjr 63:5cd1a5f3a41b 181 put(0, s);
mjr 40:cc0d9814522b 182
mjr 53:9b2611964afc 183 // add the requested ID index
mjr 63:5cd1a5f3a41b 184 report.data[2] = (uint8_t)index;
mjr 53:9b2611964afc 185
mjr 53:9b2611964afc 186 // figure out which ID we're reporting
mjr 53:9b2611964afc 187 switch (index)
mjr 53:9b2611964afc 188 {
mjr 53:9b2611964afc 189 case 1:
mjr 53:9b2611964afc 190 // KL25Z CPU ID
mjr 63:5cd1a5f3a41b 191 putbe(3, SIM->UIDMH);
mjr 63:5cd1a5f3a41b 192 putlbe(5, SIM->UIDML);
mjr 63:5cd1a5f3a41b 193 putlbe(9, SIM->UIDL);
mjr 53:9b2611964afc 194 break;
mjr 53:9b2611964afc 195
mjr 53:9b2611964afc 196 case 2:
mjr 53:9b2611964afc 197 // OpenSDA ID. Copy the low-order 80 bits of the OpenSDA ID.
mjr 53:9b2611964afc 198 // (The stored value is 128 bits = 16 bytes; we only want the last
mjr 53:9b2611964afc 199 // 80 bits = 10 bytes. So skip ahead 16 and back up 10 to get
mjr 53:9b2611964afc 200 // the starting point.)
mjr 53:9b2611964afc 201 extern const char *getOpenSDAID();
mjr 63:5cd1a5f3a41b 202 memcpy(&report.data[3], getOpenSDAID() + 16 - 10, 10);
mjr 53:9b2611964afc 203 break;
mjr 53:9b2611964afc 204 }
mjr 53:9b2611964afc 205
mjr 53:9b2611964afc 206 // send the report
mjr 63:5cd1a5f3a41b 207 report.length = reportLen;
mjr 53:9b2611964afc 208 return sendTO(&report, 100);
mjr 53:9b2611964afc 209 }
mjr 53:9b2611964afc 210
mjr 53:9b2611964afc 211 bool USBJoystick::reportBuildInfo(const char *date)
mjr 53:9b2611964afc 212 {
mjr 53:9b2611964afc 213 HID_REPORT report;
mjr 53:9b2611964afc 214
mjr 53:9b2611964afc 215 // initially fill the report with zeros
mjr 53:9b2611964afc 216 memset(report.data, 0, sizeof(report.data));
mjr 53:9b2611964afc 217
mjr 53:9b2611964afc 218 // Set the special status bits to indicate that it's a build
mjr 53:9b2611964afc 219 // info report
mjr 53:9b2611964afc 220 uint16_t s = 0xA000;
mjr 63:5cd1a5f3a41b 221 put(0, s);
mjr 53:9b2611964afc 222
mjr 53:9b2611964afc 223 // Parse the date. This is given in the standard __DATE__ " " __TIME
mjr 53:9b2611964afc 224 // macro format, "Mon dd yyyy hh:mm:ss" (e.g., "Feb 16 2016 12:15:06").
mjr 53:9b2611964afc 225 static const char mon[][4] = {
mjr 53:9b2611964afc 226 "Jan", "Feb", "Mar", "Apr", "May", "Jun",
mjr 53:9b2611964afc 227 "Jul", "Aug", "Sep", "Oct", "Nov", "Dec"
mjr 53:9b2611964afc 228 };
mjr 53:9b2611964afc 229 long dd = (atol(date + 7) * 10000L) // YYYY0000
mjr 53:9b2611964afc 230 + (atol(date + 4)); // 000000DD
mjr 53:9b2611964afc 231 for (int i = 0 ; i < 12 ; ++i)
mjr 53:9b2611964afc 232 {
mjr 53:9b2611964afc 233 if (memcmp(mon[i], date, 3) == 0)
mjr 53:9b2611964afc 234 {
mjr 53:9b2611964afc 235 dd += (i+1)*100; // 0000MM00
mjr 53:9b2611964afc 236 break;
mjr 53:9b2611964afc 237 }
mjr 53:9b2611964afc 238 }
mjr 53:9b2611964afc 239
mjr 53:9b2611964afc 240 // parse the time into a long formatted as decimal HHMMSS (e.g.,
mjr 53:9b2611964afc 241 // "12:15:06" turns into 121506 decimal)
mjr 53:9b2611964afc 242 long tt = (atol(date+12)*10000)
mjr 53:9b2611964afc 243 + (atol(date+15)*100)
mjr 53:9b2611964afc 244 + (atol(date+18));
mjr 53:9b2611964afc 245
mjr 53:9b2611964afc 246 // store the build date and time
mjr 63:5cd1a5f3a41b 247 putl(2, dd);
mjr 63:5cd1a5f3a41b 248 putl(6, tt);
mjr 40:cc0d9814522b 249
mjr 40:cc0d9814522b 250 // send the report
mjr 63:5cd1a5f3a41b 251 report.length = reportLen;
mjr 40:cc0d9814522b 252 return sendTO(&report, 100);
mjr 40:cc0d9814522b 253 }
mjr 40:cc0d9814522b 254
mjr 52:8298b2a73eb2 255 bool USBJoystick::reportConfigVar(const uint8_t *data)
mjr 52:8298b2a73eb2 256 {
mjr 52:8298b2a73eb2 257 HID_REPORT report;
mjr 52:8298b2a73eb2 258
mjr 52:8298b2a73eb2 259 // initially fill the report with zeros
mjr 52:8298b2a73eb2 260 memset(report.data, 0, sizeof(report.data));
mjr 52:8298b2a73eb2 261
mjr 52:8298b2a73eb2 262 // Set the special status bits to indicate that it's a config
mjr 52:8298b2a73eb2 263 // variable report
mjr 52:8298b2a73eb2 264 uint16_t s = 0x9800;
mjr 63:5cd1a5f3a41b 265 put(0, s);
mjr 52:8298b2a73eb2 266
mjr 52:8298b2a73eb2 267 // Copy the variable data (7 bytes, starting with the variable ID)
mjr 63:5cd1a5f3a41b 268 memcpy(report.data + 2, data, 7);
mjr 52:8298b2a73eb2 269
mjr 52:8298b2a73eb2 270 // send the report
mjr 63:5cd1a5f3a41b 271 report.length = reportLen;
mjr 52:8298b2a73eb2 272 return sendTO(&report, 100);
mjr 52:8298b2a73eb2 273 }
mjr 52:8298b2a73eb2 274
mjr 52:8298b2a73eb2 275 bool USBJoystick::reportConfig(
mjr 52:8298b2a73eb2 276 int numOutputs, int unitNo,
mjr 52:8298b2a73eb2 277 int plungerZero, int plungerMax, int plungerRlsTime,
mjr 73:4e8ce0b18915 278 bool configured,
mjr 73:4e8ce0b18915 279 size_t freeHeapBytes)
mjr 33:d832bcab089e 280 {
mjr 33:d832bcab089e 281 HID_REPORT report;
mjr 33:d832bcab089e 282
mjr 33:d832bcab089e 283 // initially fill the report with zeros
mjr 33:d832bcab089e 284 memset(report.data, 0, sizeof(report.data));
mjr 33:d832bcab089e 285
mjr 33:d832bcab089e 286 // Set the special status bits to indicate that it's a config report.
mjr 33:d832bcab089e 287 uint16_t s = 0x8800;
mjr 63:5cd1a5f3a41b 288 put(0, s);
mjr 33:d832bcab089e 289
mjr 33:d832bcab089e 290 // write the number of configured outputs
mjr 63:5cd1a5f3a41b 291 put(2, numOutputs);
mjr 33:d832bcab089e 292
mjr 33:d832bcab089e 293 // write the unit number
mjr 63:5cd1a5f3a41b 294 put(4, unitNo);
mjr 33:d832bcab089e 295
mjr 35:e959ffba78fd 296 // write the plunger zero and max values
mjr 63:5cd1a5f3a41b 297 put(6, plungerZero);
mjr 63:5cd1a5f3a41b 298 put(8, plungerMax);
mjr 63:5cd1a5f3a41b 299 report.data[10] = uint8_t(plungerRlsTime);
mjr 35:e959ffba78fd 300
mjr 40:cc0d9814522b 301 // write the status bits:
mjr 40:cc0d9814522b 302 // 0x01 -> configuration loaded
mjr 63:5cd1a5f3a41b 303 report.data[11] = (configured ? 0x01 : 0x00);
mjr 40:cc0d9814522b 304
mjr 73:4e8ce0b18915 305 // write the free heap space
mjr 73:4e8ce0b18915 306 put(12, freeHeapBytes);
mjr 73:4e8ce0b18915 307
mjr 33:d832bcab089e 308 // send the report
mjr 63:5cd1a5f3a41b 309 report.length = reportLen;
mjr 35:e959ffba78fd 310 return sendTO(&report, 100);
mjr 33:d832bcab089e 311 }
mjr 33:d832bcab089e 312
mjr 73:4e8ce0b18915 313 bool USBJoystick::reportButtonStatus(int numButtons, const uint8_t *state)
mjr 73:4e8ce0b18915 314 {
mjr 73:4e8ce0b18915 315 HID_REPORT report;
mjr 73:4e8ce0b18915 316
mjr 73:4e8ce0b18915 317 // initially fill the report with zeros
mjr 73:4e8ce0b18915 318 memset(report.data, 0, sizeof(report.data));
mjr 73:4e8ce0b18915 319
mjr 73:4e8ce0b18915 320 // Set the special status bits to indicate that it's a config report.
mjr 73:4e8ce0b18915 321 uint16_t s = 0xA100;
mjr 73:4e8ce0b18915 322 put(0, s);
mjr 73:4e8ce0b18915 323
mjr 73:4e8ce0b18915 324 // write the number of buttons
mjr 73:4e8ce0b18915 325 report.data[2] = (uint8_t)numButtons;
mjr 73:4e8ce0b18915 326
mjr 73:4e8ce0b18915 327 // Write the buttons - these are packed into ceil(numButtons/8) bytes.
mjr 73:4e8ce0b18915 328 size_t btnBytes = (numButtons+7)/8;
mjr 73:4e8ce0b18915 329 if (btnBytes + 3 > reportLen) btnBytes = reportLen - 3;
mjr 73:4e8ce0b18915 330 memcpy(&report.data[3], state, btnBytes);
mjr 73:4e8ce0b18915 331
mjr 73:4e8ce0b18915 332 // send the report
mjr 73:4e8ce0b18915 333 report.length = reportLen;
mjr 73:4e8ce0b18915 334 return sendTO(&report, 100);
mjr 73:4e8ce0b18915 335 }
mjr 73:4e8ce0b18915 336
mjr 73:4e8ce0b18915 337
mjr 33:d832bcab089e 338 bool USBJoystick::move(int16_t x, int16_t y)
mjr 33:d832bcab089e 339 {
mjr 3:3514575d4f86 340 _x = x;
mjr 3:3514575d4f86 341 _y = y;
mjr 3:3514575d4f86 342 return update();
mjr 3:3514575d4f86 343 }
mjr 3:3514575d4f86 344
mjr 33:d832bcab089e 345 bool USBJoystick::setZ(int16_t z)
mjr 33:d832bcab089e 346 {
mjr 3:3514575d4f86 347 _z = z;
mjr 3:3514575d4f86 348 return update();
mjr 3:3514575d4f86 349 }
mjr 3:3514575d4f86 350
mjr 33:d832bcab089e 351 bool USBJoystick::buttons(uint32_t buttons)
mjr 33:d832bcab089e 352 {
mjr 11:bd9da7088e6e 353 _buttonsLo = (uint16_t)(buttons & 0xffff);
mjr 11:bd9da7088e6e 354 _buttonsHi = (uint16_t)((buttons >> 16) & 0xffff);
mjr 3:3514575d4f86 355 return update();
mjr 3:3514575d4f86 356 }
mjr 21:5048e16cc9ef 357
mjr 21:5048e16cc9ef 358 bool USBJoystick::updateStatus(uint32_t status)
mjr 21:5048e16cc9ef 359 {
mjr 21:5048e16cc9ef 360 HID_REPORT report;
mjr 21:5048e16cc9ef 361
mjr 63:5cd1a5f3a41b 362 // Fill the report according to the Joystick Descriptor
mjr 63:5cd1a5f3a41b 363 #define put(idx, val) (report.data[idx] = (val) & 0xff, report.data[(idx)+1] = ((val) >> 8) & 0xff)
mjr 63:5cd1a5f3a41b 364 memset(report.data, 0, reportLen);
mjr 63:5cd1a5f3a41b 365 put(0, status);
mjr 63:5cd1a5f3a41b 366 report.length = reportLen;
mjr 21:5048e16cc9ef 367
mjr 21:5048e16cc9ef 368 // send the report
mjr 21:5048e16cc9ef 369 return sendTO(&report, 100);
mjr 21:5048e16cc9ef 370 }
mjr 21:5048e16cc9ef 371
mjr 3:3514575d4f86 372 void USBJoystick::_init() {
mjr 3:3514575d4f86 373
mjr 3:3514575d4f86 374 _x = 0;
mjr 3:3514575d4f86 375 _y = 0;
mjr 3:3514575d4f86 376 _z = 0;
mjr 11:bd9da7088e6e 377 _buttonsLo = 0x0000;
mjr 11:bd9da7088e6e 378 _buttonsHi = 0x0000;
mjr 9:fd65b0a94720 379 _status = 0;
mjr 3:3514575d4f86 380 }
mjr 3:3514575d4f86 381
mjr 3:3514575d4f86 382
mjr 35:e959ffba78fd 383 // --------------------------------------------------------------------------
mjr 35:e959ffba78fd 384 //
mjr 63:5cd1a5f3a41b 385 // USB HID Report Descriptor - Joystick
mjr 35:e959ffba78fd 386 //
mjr 63:5cd1a5f3a41b 387 static const uint8_t reportDescriptorJS[] =
mjr 63:5cd1a5f3a41b 388 {
mjr 63:5cd1a5f3a41b 389 USAGE_PAGE(1), 0x01, // Generic desktop
mjr 63:5cd1a5f3a41b 390 USAGE(1), 0x04, // Joystick
mjr 63:5cd1a5f3a41b 391 COLLECTION(1), 0x01, // Application
mjr 63:5cd1a5f3a41b 392 // input report (device to host)
mjr 35:e959ffba78fd 393
mjr 63:5cd1a5f3a41b 394 USAGE_PAGE(1), 0x06, // generic device controls - for config status
mjr 63:5cd1a5f3a41b 395 USAGE(1), 0x00, // undefined device control
mjr 63:5cd1a5f3a41b 396 LOGICAL_MINIMUM(1), 0x00, // 8-bit values
mjr 63:5cd1a5f3a41b 397 LOGICAL_MAXIMUM(1), 0xFF,
mjr 63:5cd1a5f3a41b 398 REPORT_SIZE(1), 0x08, // 8 bits per report
mjr 63:5cd1a5f3a41b 399 REPORT_COUNT(1), 0x04, // 4 reports (4 bytes)
mjr 63:5cd1a5f3a41b 400 INPUT(1), 0x02, // Data, Variable, Absolute
mjr 35:e959ffba78fd 401
mjr 63:5cd1a5f3a41b 402 USAGE_PAGE(1), 0x09, // Buttons
mjr 63:5cd1a5f3a41b 403 USAGE_MINIMUM(1), 0x01, // { buttons }
mjr 63:5cd1a5f3a41b 404 USAGE_MAXIMUM(1), 0x20, // { 1-32 }
mjr 63:5cd1a5f3a41b 405 LOGICAL_MINIMUM(1), 0x00, // 1-bit buttons - 0...
mjr 63:5cd1a5f3a41b 406 LOGICAL_MAXIMUM(1), 0x01, // ...to 1
mjr 63:5cd1a5f3a41b 407 REPORT_SIZE(1), 0x01, // 1 bit per report
mjr 63:5cd1a5f3a41b 408 REPORT_COUNT(1), 0x20, // 32 reports
mjr 63:5cd1a5f3a41b 409 UNIT_EXPONENT(1), 0x00, // Unit_Exponent (0)
mjr 63:5cd1a5f3a41b 410 UNIT(1), 0x00, // Unit (None)
mjr 63:5cd1a5f3a41b 411 INPUT(1), 0x02, // Data, Variable, Absolute
mjr 63:5cd1a5f3a41b 412
mjr 63:5cd1a5f3a41b 413 USAGE_PAGE(1), 0x01, // Generic desktop
mjr 63:5cd1a5f3a41b 414 USAGE(1), 0x30, // X axis
mjr 63:5cd1a5f3a41b 415 USAGE(1), 0x31, // Y axis
mjr 63:5cd1a5f3a41b 416 USAGE(1), 0x32, // Z axis
mjr 63:5cd1a5f3a41b 417 LOGICAL_MINIMUM(2), 0x00,0xF0, // each value ranges -4096
mjr 63:5cd1a5f3a41b 418 LOGICAL_MAXIMUM(2), 0x00,0x10, // ...to +4096
mjr 63:5cd1a5f3a41b 419 REPORT_SIZE(1), 0x10, // 16 bits per report
mjr 63:5cd1a5f3a41b 420 REPORT_COUNT(1), 0x03, // 3 reports (X, Y, Z)
mjr 63:5cd1a5f3a41b 421 INPUT(1), 0x02, // Data, Variable, Absolute
mjr 63:5cd1a5f3a41b 422
mjr 63:5cd1a5f3a41b 423 // output report (host to device)
mjr 63:5cd1a5f3a41b 424 REPORT_SIZE(1), 0x08, // 8 bits per report
mjr 63:5cd1a5f3a41b 425 REPORT_COUNT(1), 0x08, // output report count - 8-byte LedWiz format
mjr 63:5cd1a5f3a41b 426 0x09, 0x01, // usage
mjr 63:5cd1a5f3a41b 427 0x91, 0x01, // Output (array)
mjr 35:e959ffba78fd 428
mjr 35:e959ffba78fd 429 END_COLLECTION(0)
mjr 35:e959ffba78fd 430 };
mjr 35:e959ffba78fd 431
mjr 63:5cd1a5f3a41b 432 //
mjr 63:5cd1a5f3a41b 433 // USB HID Report Descriptor - Keyboard/Media Control
mjr 63:5cd1a5f3a41b 434 //
mjr 48:058ace2aed1d 435 static const uint8_t reportDescriptorKB[] =
mjr 35:e959ffba78fd 436 {
mjr 63:5cd1a5f3a41b 437 USAGE_PAGE(1), 0x01, // Generic Desktop
mjr 63:5cd1a5f3a41b 438 USAGE(1), 0x06, // Keyboard
mjr 63:5cd1a5f3a41b 439 COLLECTION(1), 0x01, // Application
mjr 63:5cd1a5f3a41b 440 REPORT_ID(1), REPORT_ID_KB,
mjr 63:5cd1a5f3a41b 441
mjr 63:5cd1a5f3a41b 442 USAGE_PAGE(1), 0x07, // Key Codes
mjr 63:5cd1a5f3a41b 443 USAGE_MINIMUM(1), 0xE0,
mjr 63:5cd1a5f3a41b 444 USAGE_MAXIMUM(1), 0xE7,
mjr 63:5cd1a5f3a41b 445 LOGICAL_MINIMUM(1), 0x00,
mjr 63:5cd1a5f3a41b 446 LOGICAL_MAXIMUM(1), 0x01,
mjr 63:5cd1a5f3a41b 447 REPORT_SIZE(1), 0x01,
mjr 63:5cd1a5f3a41b 448 REPORT_COUNT(1), 0x08,
mjr 63:5cd1a5f3a41b 449 INPUT(1), 0x02, // Data, Variable, Absolute
mjr 63:5cd1a5f3a41b 450 REPORT_COUNT(1), 0x01,
mjr 63:5cd1a5f3a41b 451 REPORT_SIZE(1), 0x08,
mjr 63:5cd1a5f3a41b 452 INPUT(1), 0x01, // Constant
mjr 63:5cd1a5f3a41b 453
mjr 63:5cd1a5f3a41b 454 REPORT_COUNT(1), 0x05,
mjr 63:5cd1a5f3a41b 455 REPORT_SIZE(1), 0x01,
mjr 63:5cd1a5f3a41b 456 USAGE_PAGE(1), 0x08, // LEDs
mjr 63:5cd1a5f3a41b 457 USAGE_MINIMUM(1), 0x01,
mjr 63:5cd1a5f3a41b 458 USAGE_MAXIMUM(1), 0x05,
mjr 63:5cd1a5f3a41b 459 OUTPUT(1), 0x02, // Data, Variable, Absolute
mjr 63:5cd1a5f3a41b 460 REPORT_COUNT(1), 0x01,
mjr 63:5cd1a5f3a41b 461 REPORT_SIZE(1), 0x03,
mjr 63:5cd1a5f3a41b 462 OUTPUT(1), 0x01, // Constant
mjr 63:5cd1a5f3a41b 463
mjr 63:5cd1a5f3a41b 464 REPORT_COUNT(1), 0x06,
mjr 63:5cd1a5f3a41b 465 REPORT_SIZE(1), 0x08,
mjr 63:5cd1a5f3a41b 466 LOGICAL_MINIMUM(1), 0x00,
mjr 68:998faf685b00 467 LOGICAL_MAXIMUM(1), 0xA4,
mjr 63:5cd1a5f3a41b 468 USAGE_PAGE(1), 0x07, // Key Codes
mjr 63:5cd1a5f3a41b 469 USAGE_MINIMUM(1), 0x00,
mjr 68:998faf685b00 470 USAGE_MAXIMUM(1), 0xA4,
mjr 63:5cd1a5f3a41b 471 INPUT(1), 0x00, // Data, Array
mjr 63:5cd1a5f3a41b 472 END_COLLECTION(0),
mjr 63:5cd1a5f3a41b 473
mjr 63:5cd1a5f3a41b 474 // Media Control
mjr 63:5cd1a5f3a41b 475 USAGE_PAGE(1), 0x0C,
mjr 63:5cd1a5f3a41b 476 USAGE(1), 0x01,
mjr 63:5cd1a5f3a41b 477 COLLECTION(1), 0x01,
mjr 63:5cd1a5f3a41b 478 REPORT_ID(1), REPORT_ID_MEDIA,
mjr 63:5cd1a5f3a41b 479 USAGE_PAGE(1), 0x0C,
mjr 63:5cd1a5f3a41b 480 LOGICAL_MINIMUM(1), 0x00,
mjr 63:5cd1a5f3a41b 481 LOGICAL_MAXIMUM(1), 0x01,
mjr 63:5cd1a5f3a41b 482 REPORT_SIZE(1), 0x01,
mjr 63:5cd1a5f3a41b 483 REPORT_COUNT(1), 0x07,
mjr 63:5cd1a5f3a41b 484 USAGE(1), 0xE2, // Mute -> 0x01
mjr 63:5cd1a5f3a41b 485 USAGE(1), 0xE9, // Volume Up -> 0x02
mjr 63:5cd1a5f3a41b 486 USAGE(1), 0xEA, // Volume Down -> 0x04
mjr 63:5cd1a5f3a41b 487 USAGE(1), 0xB5, // Next Track -> 0x08
mjr 63:5cd1a5f3a41b 488 USAGE(1), 0xB6, // Previous Track -> 0x10
mjr 63:5cd1a5f3a41b 489 USAGE(1), 0xB7, // Stop -> 0x20
mjr 63:5cd1a5f3a41b 490 USAGE(1), 0xCD, // Play / Pause -> 0x40
mjr 63:5cd1a5f3a41b 491 INPUT(1), 0x02, // Input (Data, Variable, Absolute) -> 0x80
mjr 63:5cd1a5f3a41b 492 REPORT_COUNT(1), 0x01,
mjr 63:5cd1a5f3a41b 493 INPUT(1), 0x01,
mjr 63:5cd1a5f3a41b 494 END_COLLECTION(0),
mjr 35:e959ffba78fd 495 };
mjr 29:582472d0bc57 496
mjr 63:5cd1a5f3a41b 497 //
mjr 63:5cd1a5f3a41b 498 // USB HID Report Descriptor - LedWiz only, with no joystick or keyboard
mjr 63:5cd1a5f3a41b 499 // input reporting
mjr 63:5cd1a5f3a41b 500 //
mjr 63:5cd1a5f3a41b 501 static const uint8_t reportDescriptorLW[] =
mjr 63:5cd1a5f3a41b 502 {
mjr 63:5cd1a5f3a41b 503 USAGE_PAGE(1), 0x01, // Generic desktop
mjr 63:5cd1a5f3a41b 504 USAGE(1), 0x00, // Undefined
mjr 63:5cd1a5f3a41b 505
mjr 63:5cd1a5f3a41b 506 COLLECTION(1), 0x01, // Application
mjr 63:5cd1a5f3a41b 507
mjr 63:5cd1a5f3a41b 508 // input report (device to host)
mjr 63:5cd1a5f3a41b 509 USAGE_PAGE(1), 0x06, // generic device controls - for config status
mjr 63:5cd1a5f3a41b 510 USAGE(1), 0x00, // undefined device control
mjr 63:5cd1a5f3a41b 511 LOGICAL_MINIMUM(1), 0x00, // 8-bit values
mjr 63:5cd1a5f3a41b 512 LOGICAL_MAXIMUM(1), 0xFF,
mjr 63:5cd1a5f3a41b 513 REPORT_SIZE(1), 0x08, // 8 bits per report
mjr 63:5cd1a5f3a41b 514 REPORT_COUNT(1), reportLen, // standard report length (same as if we were in joystick mode)
mjr 63:5cd1a5f3a41b 515 INPUT(1), 0x02, // Data, Variable, Absolute
mjr 63:5cd1a5f3a41b 516
mjr 63:5cd1a5f3a41b 517 // output report (host to device)
mjr 63:5cd1a5f3a41b 518 REPORT_SIZE(1), 0x08, // 8 bits per report
mjr 63:5cd1a5f3a41b 519 REPORT_COUNT(1), 0x08, // output report count (LEDWiz messages)
mjr 63:5cd1a5f3a41b 520 0x09, 0x01, // usage
mjr 63:5cd1a5f3a41b 521 0x91, 0x01, // Output (array)
mjr 63:5cd1a5f3a41b 522
mjr 63:5cd1a5f3a41b 523 END_COLLECTION(0)
mjr 35:e959ffba78fd 524 };
mjr 35:e959ffba78fd 525
mjr 63:5cd1a5f3a41b 526
mjr 54:fd77a6b2f76c 527 const uint8_t *USBJoystick::reportDesc(int idx, uint16_t &len)
mjr 35:e959ffba78fd 528 {
mjr 63:5cd1a5f3a41b 529 switch (idx)
mjr 35:e959ffba78fd 530 {
mjr 63:5cd1a5f3a41b 531 case 0:
mjr 63:5cd1a5f3a41b 532 // If the joystick is enabled, this is the joystick.
mjr 63:5cd1a5f3a41b 533 // Otherwise, it's the plain LedWiz control interface.
mjr 63:5cd1a5f3a41b 534 if (enableJoystick)
mjr 63:5cd1a5f3a41b 535 {
mjr 63:5cd1a5f3a41b 536 len = sizeof(reportDescriptorJS);
mjr 63:5cd1a5f3a41b 537 return reportDescriptorJS;
mjr 63:5cd1a5f3a41b 538 }
mjr 63:5cd1a5f3a41b 539 else
mjr 63:5cd1a5f3a41b 540 {
mjr 63:5cd1a5f3a41b 541 len = sizeof(reportDescriptorLW);
mjr 63:5cd1a5f3a41b 542 return reportDescriptorLW;
mjr 63:5cd1a5f3a41b 543 }
mjr 63:5cd1a5f3a41b 544
mjr 63:5cd1a5f3a41b 545 case 1:
mjr 63:5cd1a5f3a41b 546 // This is the keyboard, if enabled.
mjr 63:5cd1a5f3a41b 547 if (useKB)
mjr 63:5cd1a5f3a41b 548 {
mjr 63:5cd1a5f3a41b 549 len = sizeof(reportDescriptorKB);
mjr 63:5cd1a5f3a41b 550 return reportDescriptorKB;
mjr 63:5cd1a5f3a41b 551 }
mjr 63:5cd1a5f3a41b 552 else
mjr 63:5cd1a5f3a41b 553 {
mjr 63:5cd1a5f3a41b 554 len = 0;
mjr 63:5cd1a5f3a41b 555 return 0;
mjr 63:5cd1a5f3a41b 556 }
mjr 63:5cd1a5f3a41b 557
mjr 63:5cd1a5f3a41b 558 default:
mjr 63:5cd1a5f3a41b 559 // Unknown interface ID
mjr 54:fd77a6b2f76c 560 len = 0;
mjr 48:058ace2aed1d 561 return 0;
mjr 35:e959ffba78fd 562 }
mjr 35:e959ffba78fd 563 }
mjr 3:3514575d4f86 564
mjr 48:058ace2aed1d 565 const uint8_t *USBJoystick::stringImanufacturerDesc() {
mjr 48:058ace2aed1d 566 static const uint8_t stringImanufacturerDescriptor[] = {
mjr 61:3c7e6e9ec355 567 0x0E, /* bLength */
mjr 61:3c7e6e9ec355 568 STRING_DESCRIPTOR, /* bDescriptorType 0x03 (String Descriptor) */
mjr 61:3c7e6e9ec355 569 'm',0,'j',0,'r',0,'n',0,'e',0,'t',0 /* bString iManufacturer - mjrnet */
mjr 3:3514575d4f86 570 };
mjr 3:3514575d4f86 571 return stringImanufacturerDescriptor;
mjr 3:3514575d4f86 572 }
mjr 3:3514575d4f86 573
mjr 54:fd77a6b2f76c 574 const uint8_t *USBJoystick::stringIserialDesc()
mjr 54:fd77a6b2f76c 575 {
mjr 54:fd77a6b2f76c 576 // set up a buffer with the length prefix and descriptor type
mjr 61:3c7e6e9ec355 577 const int numChars = 3 + 16 + 1 + 3;
mjr 61:3c7e6e9ec355 578 static uint8_t buf[2 + numChars*2];
mjr 54:fd77a6b2f76c 579 uint8_t *dst = buf;
mjr 54:fd77a6b2f76c 580 *dst++ = sizeof(buf);
mjr 54:fd77a6b2f76c 581 *dst++ = STRING_DESCRIPTOR;
mjr 54:fd77a6b2f76c 582
mjr 54:fd77a6b2f76c 583 // Create an ASCII version of our unique serial number string:
mjr 54:fd77a6b2f76c 584 //
mjr 61:3c7e6e9ec355 585 // PSCxxxxxxxxxxxxxxxxivvv
mjr 54:fd77a6b2f76c 586 //
mjr 54:fd77a6b2f76c 587 // where:
mjr 54:fd77a6b2f76c 588 //
mjr 54:fd77a6b2f76c 589 // xxx... = decimal representation of low 64 bits of CPU ID (16 hex digits)
mjr 54:fd77a6b2f76c 590 // i = interface type: first character is J if joystick is enabled,
mjr 54:fd77a6b2f76c 591 // L = LedWiz/control interface only, no input
mjr 54:fd77a6b2f76c 592 // J = Joystick + LedWiz
mjr 54:fd77a6b2f76c 593 // K = Keyboard + LedWiz
mjr 54:fd77a6b2f76c 594 // C = Joystick + Keyboard + LedWiz ("C" for combo)
mjr 61:3c7e6e9ec355 595 // vvv = version suffix
mjr 54:fd77a6b2f76c 596 //
mjr 54:fd77a6b2f76c 597 // The suffix for the interface type resolves a problem on some Windows systems
mjr 54:fd77a6b2f76c 598 // when switching between interface types. Windows can cache device information
mjr 54:fd77a6b2f76c 599 // that includes the interface descriptors, and it won't recognize a change in
mjr 54:fd77a6b2f76c 600 // the interfaces once the information is cached, causing connection failures.
mjr 54:fd77a6b2f76c 601 // The cache key includes the device serial number, though, so this can be
mjr 54:fd77a6b2f76c 602 // resolved by changing the serial number when the interface setup changes.
mjr 61:3c7e6e9ec355 603 char xbuf[numChars + 1];
mjr 54:fd77a6b2f76c 604 uint32_t x = SIM->UIDML;
mjr 54:fd77a6b2f76c 605 static char ifcCode[] = "LJKC";
mjr 63:5cd1a5f3a41b 606 sprintf(xbuf, "PSC%08lX%08lX%c008",
mjr 54:fd77a6b2f76c 607 SIM->UIDML,
mjr 54:fd77a6b2f76c 608 SIM->UIDL,
mjr 54:fd77a6b2f76c 609 ifcCode[(enableJoystick ? 0x01 : 0x00) | (useKB ? 0x02 : 0x00)]);
mjr 54:fd77a6b2f76c 610
mjr 54:fd77a6b2f76c 611 // copy the ascii bytes into the descriptor buffer, converting to unicode
mjr 54:fd77a6b2f76c 612 // 16-bit little-endian characters
mjr 54:fd77a6b2f76c 613 for (char *src = xbuf ; *src != '\0' && dst < buf + sizeof(buf) ; )
mjr 54:fd77a6b2f76c 614 {
mjr 54:fd77a6b2f76c 615 *dst++ = *src++;
mjr 54:fd77a6b2f76c 616 *dst++ = '\0';
mjr 54:fd77a6b2f76c 617 }
mjr 54:fd77a6b2f76c 618
mjr 54:fd77a6b2f76c 619 // return the buffer
mjr 54:fd77a6b2f76c 620 return buf;
mjr 3:3514575d4f86 621 }
mjr 3:3514575d4f86 622
mjr 48:058ace2aed1d 623 const uint8_t *USBJoystick::stringIproductDesc() {
mjr 48:058ace2aed1d 624 static const uint8_t stringIproductDescriptor[] = {
mjr 9:fd65b0a94720 625 0x28, /*bLength*/
mjr 3:3514575d4f86 626 STRING_DESCRIPTOR, /*bDescriptorType 0x03*/
mjr 3:3514575d4f86 627 'P',0,'i',0,'n',0,'s',0,'c',0,'a',0,'p',0,'e',0,
mjr 3:3514575d4f86 628 ' ',0,'C',0,'o',0,'n',0,'t',0,'r',0,'o',0,'l',0,
mjr 3:3514575d4f86 629 'l',0,'e',0,'r',0 /*String iProduct */
mjr 3:3514575d4f86 630 };
mjr 3:3514575d4f86 631 return stringIproductDescriptor;
mjr 3:3514575d4f86 632 }
mjr 35:e959ffba78fd 633
mjr 35:e959ffba78fd 634 #define DEFAULT_CONFIGURATION (1)
mjr 35:e959ffba78fd 635
mjr 48:058ace2aed1d 636 const uint8_t *USBJoystick::configurationDesc()
mjr 35:e959ffba78fd 637 {
mjr 63:5cd1a5f3a41b 638 int rptlen0 = reportDescLength(0);
mjr 63:5cd1a5f3a41b 639 int rptlen1 = reportDescLength(1);
mjr 63:5cd1a5f3a41b 640 if (useKB)
mjr 35:e959ffba78fd 641 {
mjr 63:5cd1a5f3a41b 642 const int cfglenKB =
mjr 63:5cd1a5f3a41b 643 ((1 * CONFIGURATION_DESCRIPTOR_LENGTH)
mjr 63:5cd1a5f3a41b 644 + (2 * INTERFACE_DESCRIPTOR_LENGTH)
mjr 63:5cd1a5f3a41b 645 + (2 * HID_DESCRIPTOR_LENGTH)
mjr 63:5cd1a5f3a41b 646 + (4 * ENDPOINT_DESCRIPTOR_LENGTH));
mjr 63:5cd1a5f3a41b 647 static uint8_t configurationDescriptorWithKB[] =
mjr 63:5cd1a5f3a41b 648 {
mjr 63:5cd1a5f3a41b 649 CONFIGURATION_DESCRIPTOR_LENGTH,// bLength
mjr 63:5cd1a5f3a41b 650 CONFIGURATION_DESCRIPTOR, // bDescriptorType
mjr 63:5cd1a5f3a41b 651 LSB(cfglenKB), // wTotalLength (LSB)
mjr 63:5cd1a5f3a41b 652 MSB(cfglenKB), // wTotalLength (MSB)
mjr 63:5cd1a5f3a41b 653 0x02, // bNumInterfaces - TWO INTERFACES (JOYSTICK + KEYBOARD)
mjr 63:5cd1a5f3a41b 654 DEFAULT_CONFIGURATION, // bConfigurationValue
mjr 63:5cd1a5f3a41b 655 0x00, // iConfiguration
mjr 63:5cd1a5f3a41b 656 C_RESERVED | C_SELF_POWERED, // bmAttributes
mjr 63:5cd1a5f3a41b 657 C_POWER(0), // bMaxPower
mjr 63:5cd1a5f3a41b 658
mjr 63:5cd1a5f3a41b 659 // ***** INTERFACE 0 - JOYSTICK/LEDWIZ ******
mjr 63:5cd1a5f3a41b 660 INTERFACE_DESCRIPTOR_LENGTH, // bLength
mjr 63:5cd1a5f3a41b 661 INTERFACE_DESCRIPTOR, // bDescriptorType
mjr 63:5cd1a5f3a41b 662 0x00, // bInterfaceNumber
mjr 63:5cd1a5f3a41b 663 0x00, // bAlternateSetting
mjr 63:5cd1a5f3a41b 664 0x02, // bNumEndpoints
mjr 63:5cd1a5f3a41b 665 HID_CLASS, // bInterfaceClass
mjr 63:5cd1a5f3a41b 666 HID_SUBCLASS_NONE, // bInterfaceSubClass
mjr 63:5cd1a5f3a41b 667 HID_PROTOCOL_NONE, // bInterfaceProtocol
mjr 63:5cd1a5f3a41b 668 0x00, // iInterface
mjr 63:5cd1a5f3a41b 669
mjr 63:5cd1a5f3a41b 670 HID_DESCRIPTOR_LENGTH, // bLength
mjr 63:5cd1a5f3a41b 671 HID_DESCRIPTOR, // bDescriptorType
mjr 63:5cd1a5f3a41b 672 LSB(HID_VERSION_1_11), // bcdHID (LSB)
mjr 63:5cd1a5f3a41b 673 MSB(HID_VERSION_1_11), // bcdHID (MSB)
mjr 63:5cd1a5f3a41b 674 0x00, // bCountryCode
mjr 63:5cd1a5f3a41b 675 0x01, // bNumDescriptors
mjr 63:5cd1a5f3a41b 676 REPORT_DESCRIPTOR, // bDescriptorType
mjr 63:5cd1a5f3a41b 677 LSB(rptlen0), // wDescriptorLength (LSB)
mjr 63:5cd1a5f3a41b 678 MSB(rptlen0), // wDescriptorLength (MSB)
mjr 63:5cd1a5f3a41b 679
mjr 63:5cd1a5f3a41b 680 ENDPOINT_DESCRIPTOR_LENGTH, // bLength
mjr 63:5cd1a5f3a41b 681 ENDPOINT_DESCRIPTOR, // bDescriptorType
mjr 63:5cd1a5f3a41b 682 PHY_TO_DESC(EPINT_IN), // bEndpointAddress - EPINT == EP1
mjr 63:5cd1a5f3a41b 683 E_INTERRUPT, // bmAttributes
mjr 63:5cd1a5f3a41b 684 LSB(MAX_PACKET_SIZE_EPINT), // wMaxPacketSize (LSB)
mjr 63:5cd1a5f3a41b 685 MSB(MAX_PACKET_SIZE_EPINT), // wMaxPacketSize (MSB)
mjr 63:5cd1a5f3a41b 686 1, // bInterval (milliseconds)
mjr 63:5cd1a5f3a41b 687
mjr 63:5cd1a5f3a41b 688 ENDPOINT_DESCRIPTOR_LENGTH, // bLength
mjr 63:5cd1a5f3a41b 689 ENDPOINT_DESCRIPTOR, // bDescriptorType
mjr 63:5cd1a5f3a41b 690 PHY_TO_DESC(EPINT_OUT), // bEndpointAddress - EPINT == EP1
mjr 63:5cd1a5f3a41b 691 E_INTERRUPT, // bmAttributes
mjr 63:5cd1a5f3a41b 692 LSB(MAX_PACKET_SIZE_EPINT), // wMaxPacketSize (LSB)
mjr 63:5cd1a5f3a41b 693 MSB(MAX_PACKET_SIZE_EPINT), // wMaxPacketSize (MSB)
mjr 63:5cd1a5f3a41b 694 1, // bInterval (milliseconds)
mjr 63:5cd1a5f3a41b 695
mjr 63:5cd1a5f3a41b 696 // ****** INTERFACE 1 - KEYBOARD ******
mjr 63:5cd1a5f3a41b 697 INTERFACE_DESCRIPTOR_LENGTH, // bLength
mjr 63:5cd1a5f3a41b 698 INTERFACE_DESCRIPTOR, // bDescriptorType
mjr 63:5cd1a5f3a41b 699 0x01, // bInterfaceNumber
mjr 63:5cd1a5f3a41b 700 0x00, // bAlternateSetting
mjr 63:5cd1a5f3a41b 701 0x02, // bNumEndpoints
mjr 63:5cd1a5f3a41b 702 HID_CLASS, // bInterfaceClass
mjr 63:5cd1a5f3a41b 703 HID_SUBCLASS_BOOT, // bInterfaceSubClass
mjr 63:5cd1a5f3a41b 704 HID_PROTOCOL_KB, // bInterfaceProtocol
mjr 63:5cd1a5f3a41b 705 0x00, // iInterface
mjr 63:5cd1a5f3a41b 706
mjr 63:5cd1a5f3a41b 707 HID_DESCRIPTOR_LENGTH, // bLength
mjr 63:5cd1a5f3a41b 708 HID_DESCRIPTOR, // bDescriptorType
mjr 63:5cd1a5f3a41b 709 LSB(HID_VERSION_1_11), // bcdHID (LSB)
mjr 63:5cd1a5f3a41b 710 MSB(HID_VERSION_1_11), // bcdHID (MSB)
mjr 63:5cd1a5f3a41b 711 0x00, // bCountryCode
mjr 63:5cd1a5f3a41b 712 0x01, // bNumDescriptors
mjr 63:5cd1a5f3a41b 713 REPORT_DESCRIPTOR, // bDescriptorType
mjr 63:5cd1a5f3a41b 714 LSB(rptlen1), // wDescriptorLength (LSB)
mjr 63:5cd1a5f3a41b 715 MSB(rptlen1), // wDescriptorLength (MSB)
mjr 63:5cd1a5f3a41b 716
mjr 63:5cd1a5f3a41b 717 ENDPOINT_DESCRIPTOR_LENGTH, // bLength
mjr 63:5cd1a5f3a41b 718 ENDPOINT_DESCRIPTOR, // bDescriptorType
mjr 63:5cd1a5f3a41b 719 PHY_TO_DESC(EP4IN), // bEndpointAddress
mjr 63:5cd1a5f3a41b 720 E_INTERRUPT, // bmAttributes
mjr 63:5cd1a5f3a41b 721 LSB(MAX_PACKET_SIZE_EPINT), // wMaxPacketSize (LSB)
mjr 63:5cd1a5f3a41b 722 MSB(MAX_PACKET_SIZE_EPINT), // wMaxPacketSize (MSB)
mjr 63:5cd1a5f3a41b 723 1, // bInterval (milliseconds)
mjr 63:5cd1a5f3a41b 724
mjr 63:5cd1a5f3a41b 725 ENDPOINT_DESCRIPTOR_LENGTH, // bLength
mjr 63:5cd1a5f3a41b 726 ENDPOINT_DESCRIPTOR, // bDescriptorType
mjr 63:5cd1a5f3a41b 727 PHY_TO_DESC(EP4OUT), // bEndpointAddress
mjr 63:5cd1a5f3a41b 728 E_INTERRUPT, // bmAttributes
mjr 63:5cd1a5f3a41b 729 LSB(MAX_PACKET_SIZE_EPINT), // wMaxPacketSize (LSB)
mjr 63:5cd1a5f3a41b 730 MSB(MAX_PACKET_SIZE_EPINT), // wMaxPacketSize (MSB)
mjr 63:5cd1a5f3a41b 731 1, // bInterval (milliseconds)
mjr 61:3c7e6e9ec355 732
mjr 63:5cd1a5f3a41b 733 };
mjr 63:5cd1a5f3a41b 734
mjr 63:5cd1a5f3a41b 735 // Keyboard + joystick interfaces
mjr 63:5cd1a5f3a41b 736 return configurationDescriptorWithKB;
mjr 63:5cd1a5f3a41b 737 }
mjr 63:5cd1a5f3a41b 738 else
mjr 63:5cd1a5f3a41b 739 {
mjr 63:5cd1a5f3a41b 740 // No keyboard - joystick interface only
mjr 63:5cd1a5f3a41b 741 const int cfglenNoKB =
mjr 63:5cd1a5f3a41b 742 ((1 * CONFIGURATION_DESCRIPTOR_LENGTH)
mjr 63:5cd1a5f3a41b 743 + (1 * INTERFACE_DESCRIPTOR_LENGTH)
mjr 63:5cd1a5f3a41b 744 + (1 * HID_DESCRIPTOR_LENGTH)
mjr 63:5cd1a5f3a41b 745 + (2 * ENDPOINT_DESCRIPTOR_LENGTH));
mjr 63:5cd1a5f3a41b 746 static uint8_t configurationDescriptorNoKB[] =
mjr 63:5cd1a5f3a41b 747 {
mjr 63:5cd1a5f3a41b 748 CONFIGURATION_DESCRIPTOR_LENGTH,// bLength
mjr 63:5cd1a5f3a41b 749 CONFIGURATION_DESCRIPTOR, // bDescriptorType
mjr 63:5cd1a5f3a41b 750 LSB(cfglenNoKB), // wTotalLength (LSB)
mjr 63:5cd1a5f3a41b 751 MSB(cfglenNoKB), // wTotalLength (MSB)
mjr 63:5cd1a5f3a41b 752 0x01, // bNumInterfaces
mjr 63:5cd1a5f3a41b 753 DEFAULT_CONFIGURATION, // bConfigurationValue
mjr 63:5cd1a5f3a41b 754 0x00, // iConfiguration
mjr 63:5cd1a5f3a41b 755 C_RESERVED | C_SELF_POWERED, // bmAttributes
mjr 63:5cd1a5f3a41b 756 C_POWER(0), // bMaxPower
mjr 63:5cd1a5f3a41b 757
mjr 63:5cd1a5f3a41b 758 INTERFACE_DESCRIPTOR_LENGTH, // bLength
mjr 63:5cd1a5f3a41b 759 INTERFACE_DESCRIPTOR, // bDescriptorType
mjr 63:5cd1a5f3a41b 760 0x00, // bInterfaceNumber
mjr 63:5cd1a5f3a41b 761 0x00, // bAlternateSetting
mjr 63:5cd1a5f3a41b 762 0x02, // bNumEndpoints
mjr 63:5cd1a5f3a41b 763 HID_CLASS, // bInterfaceClass
mjr 63:5cd1a5f3a41b 764 HID_SUBCLASS_NONE, // bInterfaceSubClass
mjr 63:5cd1a5f3a41b 765 HID_PROTOCOL_NONE, // bInterfaceProtocol (keyboard)
mjr 63:5cd1a5f3a41b 766 0x00, // iInterface
mjr 63:5cd1a5f3a41b 767
mjr 63:5cd1a5f3a41b 768 HID_DESCRIPTOR_LENGTH, // bLength
mjr 63:5cd1a5f3a41b 769 HID_DESCRIPTOR, // bDescriptorType
mjr 63:5cd1a5f3a41b 770 LSB(HID_VERSION_1_11), // bcdHID (LSB)
mjr 63:5cd1a5f3a41b 771 MSB(HID_VERSION_1_11), // bcdHID (MSB)
mjr 63:5cd1a5f3a41b 772 0x00, // bCountryCode
mjr 63:5cd1a5f3a41b 773 0x01, // bNumDescriptors
mjr 63:5cd1a5f3a41b 774 REPORT_DESCRIPTOR, // bDescriptorType
mjr 63:5cd1a5f3a41b 775 (uint8_t)(LSB(rptlen0)), // wDescriptorLength (LSB)
mjr 63:5cd1a5f3a41b 776 (uint8_t)(MSB(rptlen0)), // wDescriptorLength (MSB)
mjr 63:5cd1a5f3a41b 777
mjr 63:5cd1a5f3a41b 778 ENDPOINT_DESCRIPTOR_LENGTH, // bLength
mjr 63:5cd1a5f3a41b 779 ENDPOINT_DESCRIPTOR, // bDescriptorType
mjr 63:5cd1a5f3a41b 780 PHY_TO_DESC(EPINT_IN), // bEndpointAddress
mjr 63:5cd1a5f3a41b 781 E_INTERRUPT, // bmAttributes
mjr 63:5cd1a5f3a41b 782 LSB(MAX_PACKET_SIZE_EPINT), // wMaxPacketSize (LSB)
mjr 63:5cd1a5f3a41b 783 MSB(MAX_PACKET_SIZE_EPINT), // wMaxPacketSize (MSB)
mjr 63:5cd1a5f3a41b 784 1, // bInterval (milliseconds)
mjr 63:5cd1a5f3a41b 785
mjr 63:5cd1a5f3a41b 786 ENDPOINT_DESCRIPTOR_LENGTH, // bLength
mjr 63:5cd1a5f3a41b 787 ENDPOINT_DESCRIPTOR, // bDescriptorType
mjr 63:5cd1a5f3a41b 788 PHY_TO_DESC(EPINT_OUT), // bEndpointAddress
mjr 63:5cd1a5f3a41b 789 E_INTERRUPT, // bmAttributes
mjr 63:5cd1a5f3a41b 790 LSB(MAX_PACKET_SIZE_EPINT), // wMaxPacketSize (LSB)
mjr 63:5cd1a5f3a41b 791 MSB(MAX_PACKET_SIZE_EPINT), // wMaxPacketSize (MSB)
mjr 63:5cd1a5f3a41b 792 1 // bInterval (milliseconds)
mjr 63:5cd1a5f3a41b 793 };
mjr 63:5cd1a5f3a41b 794
mjr 63:5cd1a5f3a41b 795 return configurationDescriptorNoKB;
mjr 63:5cd1a5f3a41b 796 }
mjr 35:e959ffba78fd 797 }
mjr 35:e959ffba78fd 798
mjr 35:e959ffba78fd 799 // Set the configuration. We need to set up the endpoints for
mjr 35:e959ffba78fd 800 // our active interfaces.
mjr 35:e959ffba78fd 801 bool USBJoystick::USBCallback_setConfiguration(uint8_t configuration)
mjr 35:e959ffba78fd 802 {
mjr 35:e959ffba78fd 803 // we only have one valid configuration
mjr 35:e959ffba78fd 804 if (configuration != DEFAULT_CONFIGURATION)
mjr 35:e959ffba78fd 805 return false;
mjr 35:e959ffba78fd 806
mjr 63:5cd1a5f3a41b 807 // Configure endpoint 1 - we use this in all cases, for either
mjr 63:5cd1a5f3a41b 808 // the combined joystick/ledwiz interface or just the ledwiz interface
mjr 48:058ace2aed1d 809 addEndpoint(EPINT_IN, MAX_REPORT_JS_TX + 1);
mjr 48:058ace2aed1d 810 addEndpoint(EPINT_OUT, MAX_REPORT_JS_RX + 1);
mjr 48:058ace2aed1d 811 readStart(EPINT_OUT, MAX_REPORT_JS_TX + 1);
mjr 63:5cd1a5f3a41b 812
mjr 63:5cd1a5f3a41b 813 // if the keyboard is enabled, configure endpoint 4 for the kb interface
mjr 63:5cd1a5f3a41b 814 if (useKB)
mjr 63:5cd1a5f3a41b 815 {
mjr 63:5cd1a5f3a41b 816 addEndpoint(EP4IN, MAX_REPORT_KB_TX + 1);
mjr 63:5cd1a5f3a41b 817 addEndpoint(EP4OUT, MAX_REPORT_KB_RX + 1);
mjr 63:5cd1a5f3a41b 818 readStart(EP4OUT, MAX_REPORT_KB_TX + 1);
mjr 63:5cd1a5f3a41b 819 }
mjr 35:e959ffba78fd 820
mjr 35:e959ffba78fd 821 // success
mjr 35:e959ffba78fd 822 return true;
mjr 35:e959ffba78fd 823 }
mjr 35:e959ffba78fd 824
mjr 38:091e511ce8a0 825 // Handle incoming messages on the joystick/LedWiz interface = endpoint 1.
mjr 38:091e511ce8a0 826 // This interface receives LedWiz protocol commands and commands using our
mjr 38:091e511ce8a0 827 // custom LedWiz protocol extensions.
mjr 38:091e511ce8a0 828 //
mjr 38:091e511ce8a0 829 // We simply queue the messages in our circular buffer for processing in
mjr 38:091e511ce8a0 830 // the main loop. The circular buffer object is designed for safe access
mjr 38:091e511ce8a0 831 // from the interrupt handler using the rule that only the interrupt
mjr 38:091e511ce8a0 832 // handler can change the write pointer, and only the regular code can
mjr 38:091e511ce8a0 833 // change the read pointer.
mjr 38:091e511ce8a0 834 bool USBJoystick::EP1_OUT_callback()
mjr 38:091e511ce8a0 835 {
mjr 38:091e511ce8a0 836 // Read this message
mjr 63:5cd1a5f3a41b 837 union {
mjr 63:5cd1a5f3a41b 838 LedWizMsg msg;
mjr 63:5cd1a5f3a41b 839 uint8_t buf[MAX_HID_REPORT_SIZE];
mjr 63:5cd1a5f3a41b 840 } buf;
mjr 38:091e511ce8a0 841 uint32_t bytesRead = 0;
mjr 63:5cd1a5f3a41b 842 USBDevice::readEP(EP1OUT, buf.buf, &bytesRead, MAX_HID_REPORT_SIZE);
mjr 38:091e511ce8a0 843
mjr 63:5cd1a5f3a41b 844 // if it's the right length, queue it to our circular buffer
mjr 63:5cd1a5f3a41b 845 if (bytesRead == 8)
mjr 63:5cd1a5f3a41b 846 lwbuf.write(buf.msg);
mjr 38:091e511ce8a0 847
mjr 38:091e511ce8a0 848 // start the next read
mjr 39:b3815a1c3802 849 return readStart(EP1OUT, MAX_HID_REPORT_SIZE);
mjr 38:091e511ce8a0 850 }
mjr 63:5cd1a5f3a41b 851
mjr 63:5cd1a5f3a41b 852 // Handle incoming messages on the keyboard interface = endpoint 4.
mjr 63:5cd1a5f3a41b 853 // The host uses this to send updates for the keyboard indicator LEDs
mjr 63:5cd1a5f3a41b 854 // (caps lock, num lock, etc). We don't do anything with these, but
mjr 63:5cd1a5f3a41b 855 // we have to read them to keep the pipe open.
mjr 63:5cd1a5f3a41b 856 bool USBJoystick::EP4_OUT_callback()
mjr 63:5cd1a5f3a41b 857 {
mjr 63:5cd1a5f3a41b 858 // read this message
mjr 63:5cd1a5f3a41b 859 uint32_t bytesRead = 0;
mjr 63:5cd1a5f3a41b 860 uint8_t led[MAX_HID_REPORT_SIZE];
mjr 63:5cd1a5f3a41b 861 USBDevice::readEP(EP4OUT, led, &bytesRead, MAX_HID_REPORT_SIZE);
mjr 63:5cd1a5f3a41b 862
mjr 63:5cd1a5f3a41b 863 // start the next read
mjr 63:5cd1a5f3a41b 864 return readStart(EP4OUT, MAX_HID_REPORT_SIZE);
mjr 63:5cd1a5f3a41b 865 }
mjr 63:5cd1a5f3a41b 866