Simple interface for Mbed Cloud Client

Dependents:  

Embed: (wiki syntax)

« Back to documentation index

Show/hide line numbers arm_uc_mmInit.h Source File

arm_uc_mmInit.h

00001 // ----------------------------------------------------------------------------
00002 // Copyright 2016-2017 ARM Ltd.
00003 //
00004 // SPDX-License-Identifier: Apache-2.0
00005 //
00006 // Licensed under the Apache License, Version 2.0 (the "License");
00007 // you may not use this file except in compliance with the License.
00008 // You may obtain a copy of the License at
00009 //
00010 //     http://www.apache.org/licenses/LICENSE-2.0
00011 //
00012 // Unless required by applicable law or agreed to in writing, software
00013 // distributed under the License is distributed on an "AS IS" BASIS,
00014 // WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
00015 // See the License for the specific language governing permissions and
00016 // limitations under the License.
00017 // ----------------------------------------------------------------------------
00018 
00019 #ifndef ARM_UC_MM_INIT_H
00020 #define ARM_UC_MM_INIT_H
00021 
00022 #include "update-client-manifest-manager/update-client-manifest-manager-context.h"
00023 #include "update-client-common/arm_uc_error.h"
00024 #include "update-client-common/arm_uc_types.h"
00025 
00026 #define ARM_UC_MM_INIT_STATE_LIST\
00027     ENUM_FIXED(ARM_UC_MM_INIT_UNINIT,0)\
00028     ENUM_AUTO(ARM_UC_MM_INIT_BEGIN)\
00029     ENUM_AUTO(ARM_UC_MM_INIT_LATEST_MFST)\
00030     ENUM_AUTO(ARM_UC_MM_INIT_FINDING)\
00031     ENUM_AUTO(ARM_UC_MM_INIT_READING)\
00032     ENUM_AUTO(ARM_UC_MM_INIT_STATE_HASH_VERIFY)\
00033     ENUM_AUTO(ARM_UC_MM_INIT_STATE_PK_VERIFY)\
00034     ENUM_AUTO(ARM_UC_MM_INIT_STATE_PK_VERIFYING)\
00035     ENUM_AUTO(ARM_UC_MM_INIT_STATE_ROOT_DEPS_VERIFY_BEGIN)\
00036     ENUM_AUTO(ARM_UC_MM_INIT_STATE_DEPS_LOOP_MANIFEST_BEGIN)\
00037     ENUM_AUTO(ARM_UC_MM_INIT_STATE_DEPS_LOOP_DEPENDENCY_GET_HASH)\
00038     ENUM_AUTO(ARM_UC_MM_INIT_STATE_DEPS_LOOP_READING_DEPENDENCY)\
00039     ENUM_AUTO(ARM_UC_MM_INIT_STATE_DEPS_LOOP_DEPENDENCY_CHECK_HASH)\
00040     ENUM_AUTO(ARM_UC_MM_INIT_STATE_DEPS_LOOP_DEPENDENCY_DELETE)\
00041     ENUM_AUTO(ARM_UC_MM_INIT_STATE_DEPS_LOOP_DEPENDENCY_URI_CHECK)\
00042     ENUM_AUTO(ARM_UC_MM_INIT_STATE_DEPS_LOOP_DEPENDENCY_URI_CHECKING)\
00043     ENUM_AUTO(ARM_UC_MM_INIT_STATE_DEPS_LOOP_DEPENDENCY_READ)\
00044     ENUM_AUTO(ARM_UC_MM_INIT_STATE_DEPS_LOOP_DEPENDENCY_SEEKING)\
00045     ENUM_AUTO(ARM_UC_MM_INIT_STATE_DEPS_LOOP_DEPENDENCY_READING)\
00046     ENUM_AUTO(ARM_UC_MM_INIT_STATE_DEPS_LOOP_DEPENDENCY_END)\
00047 
00048 
00049 enum arm_uc_mm_init_state {
00050     #define ENUM_AUTO(name) name,
00051     #define ENUM_FIXED(name, val) name = val,
00052     ARM_UC_MM_INIT_STATE_LIST
00053     #undef ENUM_AUTO
00054     #undef ENUM_FIXED
00055 };
00056 
00057 arm_uc_error_t arm_uc_mmInitFSM(uint32_t event);
00058 
00059 #endif // ARM_UC_MM_INIT_H