added prescaler for 16 bit pwm in LPC1347 target

Fork of mbed-dev by mbed official

Committer:
<>
Date:
Fri Sep 02 15:07:44 2016 +0100
Revision:
144:ef7eb2e8f9f7
Parent:
83:a036322b8637
This updates the lib to the mbed lib v125

Who changed what in which revision?

UserRevisionLine numberNew contents of line
<> 144:ef7eb2e8f9f7 1 /**
<> 144:ef7eb2e8f9f7 2 ******************************************************************************
<> 144:ef7eb2e8f9f7 3 * @file stm32f7xx_hal_flash.h
<> 144:ef7eb2e8f9f7 4 * @author MCD Application Team
<> 144:ef7eb2e8f9f7 5 * @version V1.1.0
<> 144:ef7eb2e8f9f7 6 * @date 22-April-2016
<> 144:ef7eb2e8f9f7 7 * @brief Header file of FLASH HAL module.
<> 144:ef7eb2e8f9f7 8 ******************************************************************************
<> 144:ef7eb2e8f9f7 9 * @attention
<> 144:ef7eb2e8f9f7 10 *
<> 144:ef7eb2e8f9f7 11 * <h2><center>&copy; COPYRIGHT(c) 2016 STMicroelectronics</center></h2>
<> 144:ef7eb2e8f9f7 12 *
<> 144:ef7eb2e8f9f7 13 * Redistribution and use in source and binary forms, with or without modification,
<> 144:ef7eb2e8f9f7 14 * are permitted provided that the following conditions are met:
<> 144:ef7eb2e8f9f7 15 * 1. Redistributions of source code must retain the above copyright notice,
<> 144:ef7eb2e8f9f7 16 * this list of conditions and the following disclaimer.
<> 144:ef7eb2e8f9f7 17 * 2. Redistributions in binary form must reproduce the above copyright notice,
<> 144:ef7eb2e8f9f7 18 * this list of conditions and the following disclaimer in the documentation
<> 144:ef7eb2e8f9f7 19 * and/or other materials provided with the distribution.
<> 144:ef7eb2e8f9f7 20 * 3. Neither the name of STMicroelectronics nor the names of its contributors
<> 144:ef7eb2e8f9f7 21 * may be used to endorse or promote products derived from this software
<> 144:ef7eb2e8f9f7 22 * without specific prior written permission.
<> 144:ef7eb2e8f9f7 23 *
<> 144:ef7eb2e8f9f7 24 * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
<> 144:ef7eb2e8f9f7 25 * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
<> 144:ef7eb2e8f9f7 26 * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
<> 144:ef7eb2e8f9f7 27 * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE
<> 144:ef7eb2e8f9f7 28 * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
<> 144:ef7eb2e8f9f7 29 * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
<> 144:ef7eb2e8f9f7 30 * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
<> 144:ef7eb2e8f9f7 31 * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
<> 144:ef7eb2e8f9f7 32 * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
<> 144:ef7eb2e8f9f7 33 * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
<> 144:ef7eb2e8f9f7 34 *
<> 144:ef7eb2e8f9f7 35 ******************************************************************************
<> 144:ef7eb2e8f9f7 36 */
<> 144:ef7eb2e8f9f7 37
<> 144:ef7eb2e8f9f7 38 /* Define to prevent recursive inclusion -------------------------------------*/
<> 144:ef7eb2e8f9f7 39 #ifndef __STM32F7xx_HAL_FLASH_H
<> 144:ef7eb2e8f9f7 40 #define __STM32F7xx_HAL_FLASH_H
<> 144:ef7eb2e8f9f7 41
<> 144:ef7eb2e8f9f7 42 #ifdef __cplusplus
<> 144:ef7eb2e8f9f7 43 extern "C" {
<> 144:ef7eb2e8f9f7 44 #endif
<> 144:ef7eb2e8f9f7 45
<> 144:ef7eb2e8f9f7 46 /* Includes ------------------------------------------------------------------*/
<> 144:ef7eb2e8f9f7 47 #include "stm32f7xx_hal_def.h"
<> 144:ef7eb2e8f9f7 48
<> 144:ef7eb2e8f9f7 49 /** @addtogroup STM32F7xx_HAL_Driver
<> 144:ef7eb2e8f9f7 50 * @{
<> 144:ef7eb2e8f9f7 51 */
<> 144:ef7eb2e8f9f7 52
<> 144:ef7eb2e8f9f7 53 /** @addtogroup FLASH
<> 144:ef7eb2e8f9f7 54 * @{
<> 144:ef7eb2e8f9f7 55 */
<> 144:ef7eb2e8f9f7 56
<> 144:ef7eb2e8f9f7 57 /* Exported types ------------------------------------------------------------*/
<> 144:ef7eb2e8f9f7 58 /** @defgroup FLASH_Exported_Types FLASH Exported Types
<> 144:ef7eb2e8f9f7 59 * @{
<> 144:ef7eb2e8f9f7 60 */
<> 144:ef7eb2e8f9f7 61
<> 144:ef7eb2e8f9f7 62 /**
<> 144:ef7eb2e8f9f7 63 * @brief FLASH Procedure structure definition
<> 144:ef7eb2e8f9f7 64 */
<> 144:ef7eb2e8f9f7 65 typedef enum
<> 144:ef7eb2e8f9f7 66 {
<> 144:ef7eb2e8f9f7 67 FLASH_PROC_NONE = 0U,
<> 144:ef7eb2e8f9f7 68 FLASH_PROC_SECTERASE,
<> 144:ef7eb2e8f9f7 69 FLASH_PROC_MASSERASE,
<> 144:ef7eb2e8f9f7 70 FLASH_PROC_PROGRAM
<> 144:ef7eb2e8f9f7 71 } FLASH_ProcedureTypeDef;
<> 144:ef7eb2e8f9f7 72
<> 144:ef7eb2e8f9f7 73
<> 144:ef7eb2e8f9f7 74 /**
<> 144:ef7eb2e8f9f7 75 * @brief FLASH handle Structure definition
<> 144:ef7eb2e8f9f7 76 */
<> 144:ef7eb2e8f9f7 77 typedef struct
<> 144:ef7eb2e8f9f7 78 {
<> 144:ef7eb2e8f9f7 79 __IO FLASH_ProcedureTypeDef ProcedureOnGoing; /* Internal variable to indicate which procedure is ongoing or not in IT context */
<> 144:ef7eb2e8f9f7 80
<> 144:ef7eb2e8f9f7 81 __IO uint32_t NbSectorsToErase; /* Internal variable to save the remaining sectors to erase in IT context */
<> 144:ef7eb2e8f9f7 82
<> 144:ef7eb2e8f9f7 83 __IO uint8_t VoltageForErase; /* Internal variable to provide voltage range selected by user in IT context */
<> 144:ef7eb2e8f9f7 84
<> 144:ef7eb2e8f9f7 85 __IO uint32_t Sector; /* Internal variable to define the current sector which is erasing */
<> 144:ef7eb2e8f9f7 86
<> 144:ef7eb2e8f9f7 87 __IO uint32_t Address; /* Internal variable to save address selected for program */
<> 144:ef7eb2e8f9f7 88
<> 144:ef7eb2e8f9f7 89 HAL_LockTypeDef Lock; /* FLASH locking object */
<> 144:ef7eb2e8f9f7 90
<> 144:ef7eb2e8f9f7 91 __IO uint32_t ErrorCode; /* FLASH error code */
<> 144:ef7eb2e8f9f7 92
<> 144:ef7eb2e8f9f7 93 }FLASH_ProcessTypeDef;
<> 144:ef7eb2e8f9f7 94
<> 144:ef7eb2e8f9f7 95 /**
<> 144:ef7eb2e8f9f7 96 * @}
<> 144:ef7eb2e8f9f7 97 */
<> 144:ef7eb2e8f9f7 98
<> 144:ef7eb2e8f9f7 99 /* Exported constants --------------------------------------------------------*/
<> 144:ef7eb2e8f9f7 100 /** @defgroup FLASH_Exported_Constants FLASH Exported Constants
<> 144:ef7eb2e8f9f7 101 * @{
<> 144:ef7eb2e8f9f7 102 */
<> 144:ef7eb2e8f9f7 103
<> 144:ef7eb2e8f9f7 104 /** @defgroup FLASH_Error_Code FLASH Error Code
<> 144:ef7eb2e8f9f7 105 * @brief FLASH Error Code
<> 144:ef7eb2e8f9f7 106 * @{
<> 144:ef7eb2e8f9f7 107 */
<> 144:ef7eb2e8f9f7 108 #define HAL_FLASH_ERROR_NONE ((uint32_t)0x00000000U) /*!< No error */
<> 144:ef7eb2e8f9f7 109 #define HAL_FLASH_ERROR_ERS ((uint32_t)0x00000002U) /*!< Programming Sequence error */
<> 144:ef7eb2e8f9f7 110 #define HAL_FLASH_ERROR_PGP ((uint32_t)0x00000004U) /*!< Programming Parallelism error */
<> 144:ef7eb2e8f9f7 111 #define HAL_FLASH_ERROR_PGA ((uint32_t)0x00000008U) /*!< Programming Alignment error */
<> 144:ef7eb2e8f9f7 112 #define HAL_FLASH_ERROR_WRP ((uint32_t)0x00000010U) /*!< Write protection error */
<> 144:ef7eb2e8f9f7 113 #define HAL_FLASH_ERROR_OPERATION ((uint32_t)0x00000020U) /*!< Operation Error */
<> 144:ef7eb2e8f9f7 114 /**
<> 144:ef7eb2e8f9f7 115 * @}
<> 144:ef7eb2e8f9f7 116 */
<> 144:ef7eb2e8f9f7 117
<> 144:ef7eb2e8f9f7 118 /** @defgroup FLASH_Type_Program FLASH Type Program
<> 144:ef7eb2e8f9f7 119 * @{
<> 144:ef7eb2e8f9f7 120 */
<> 144:ef7eb2e8f9f7 121 #define FLASH_TYPEPROGRAM_BYTE ((uint32_t)0x00U) /*!< Program byte (8-bit) at a specified address */
<> 144:ef7eb2e8f9f7 122 #define FLASH_TYPEPROGRAM_HALFWORD ((uint32_t)0x01U) /*!< Program a half-word (16-bit) at a specified address */
<> 144:ef7eb2e8f9f7 123 #define FLASH_TYPEPROGRAM_WORD ((uint32_t)0x02U) /*!< Program a word (32-bit) at a specified address */
<> 144:ef7eb2e8f9f7 124 #define FLASH_TYPEPROGRAM_DOUBLEWORD ((uint32_t)0x03U) /*!< Program a double word (64-bit) at a specified address */
<> 144:ef7eb2e8f9f7 125 /**
<> 144:ef7eb2e8f9f7 126 * @}
<> 144:ef7eb2e8f9f7 127 */
<> 144:ef7eb2e8f9f7 128
<> 144:ef7eb2e8f9f7 129 /** @defgroup FLASH_Flag_definition FLASH Flag definition
<> 144:ef7eb2e8f9f7 130 * @brief Flag definition
<> 144:ef7eb2e8f9f7 131 * @{
<> 144:ef7eb2e8f9f7 132 */
<> 144:ef7eb2e8f9f7 133 #define FLASH_FLAG_EOP FLASH_SR_EOP /*!< FLASH End of Operation flag */
<> 144:ef7eb2e8f9f7 134 #define FLASH_FLAG_OPERR FLASH_SR_OPERR /*!< FLASH operation Error flag */
<> 144:ef7eb2e8f9f7 135 #define FLASH_FLAG_WRPERR FLASH_SR_WRPERR /*!< FLASH Write protected error flag */
<> 144:ef7eb2e8f9f7 136 #define FLASH_FLAG_PGAERR FLASH_SR_PGAERR /*!< FLASH Programming Alignment error flag */
<> 144:ef7eb2e8f9f7 137 #define FLASH_FLAG_PGPERR FLASH_SR_PGPERR /*!< FLASH Programming Parallelism error flag */
<> 144:ef7eb2e8f9f7 138 #define FLASH_FLAG_ERSERR FLASH_SR_ERSERR /*!< FLASH Erasing Sequence error flag */
<> 144:ef7eb2e8f9f7 139 #define FLASH_FLAG_BSY FLASH_SR_BSY /*!< FLASH Busy flag */
<> 144:ef7eb2e8f9f7 140 /**
<> 144:ef7eb2e8f9f7 141 * @}
<> 144:ef7eb2e8f9f7 142 */
<> 144:ef7eb2e8f9f7 143
<> 144:ef7eb2e8f9f7 144 /** @defgroup FLASH_Interrupt_definition FLASH Interrupt definition
<> 144:ef7eb2e8f9f7 145 * @brief FLASH Interrupt definition
<> 144:ef7eb2e8f9f7 146 * @{
<> 144:ef7eb2e8f9f7 147 */
<> 144:ef7eb2e8f9f7 148 #define FLASH_IT_EOP FLASH_CR_EOPIE /*!< End of FLASH Operation Interrupt source */
<> 144:ef7eb2e8f9f7 149 #define FLASH_IT_ERR ((uint32_t)0x02000000U) /*!< Error Interrupt source */
<> 144:ef7eb2e8f9f7 150 /**
<> 144:ef7eb2e8f9f7 151 * @}
<> 144:ef7eb2e8f9f7 152 */
<> 144:ef7eb2e8f9f7 153
<> 144:ef7eb2e8f9f7 154 /** @defgroup FLASH_Program_Parallelism FLASH Program Parallelism
<> 144:ef7eb2e8f9f7 155 * @{
<> 144:ef7eb2e8f9f7 156 */
<> 144:ef7eb2e8f9f7 157 #define FLASH_PSIZE_BYTE ((uint32_t)0x00000000U)
<> 144:ef7eb2e8f9f7 158 #define FLASH_PSIZE_HALF_WORD ((uint32_t)FLASH_CR_PSIZE_0)
<> 144:ef7eb2e8f9f7 159 #define FLASH_PSIZE_WORD ((uint32_t)FLASH_CR_PSIZE_1)
<> 144:ef7eb2e8f9f7 160 #define FLASH_PSIZE_DOUBLE_WORD ((uint32_t)FLASH_CR_PSIZE)
<> 144:ef7eb2e8f9f7 161 #define CR_PSIZE_MASK ((uint32_t)0xFFFFFCFFU)
<> 144:ef7eb2e8f9f7 162 /**
<> 144:ef7eb2e8f9f7 163 * @}
<> 144:ef7eb2e8f9f7 164 */
<> 144:ef7eb2e8f9f7 165
<> 144:ef7eb2e8f9f7 166 /** @defgroup FLASH_Keys FLASH Keys
<> 144:ef7eb2e8f9f7 167 * @{
<> 144:ef7eb2e8f9f7 168 */
<> 144:ef7eb2e8f9f7 169 #define FLASH_KEY1 ((uint32_t)0x45670123U)
<> 144:ef7eb2e8f9f7 170 #define FLASH_KEY2 ((uint32_t)0xCDEF89ABU)
<> 144:ef7eb2e8f9f7 171 #define FLASH_OPT_KEY1 ((uint32_t)0x08192A3BU)
<> 144:ef7eb2e8f9f7 172 #define FLASH_OPT_KEY2 ((uint32_t)0x4C5D6E7FU)
<> 144:ef7eb2e8f9f7 173 /**
<> 144:ef7eb2e8f9f7 174 * @}
<> 144:ef7eb2e8f9f7 175 */
<> 144:ef7eb2e8f9f7 176
<> 144:ef7eb2e8f9f7 177 /** @defgroup FLASH_Sectors FLASH Sectors
<> 144:ef7eb2e8f9f7 178 * @{
<> 144:ef7eb2e8f9f7 179 */
<> 144:ef7eb2e8f9f7 180 #define FLASH_SECTOR_0 ((uint32_t)0U) /*!< Sector Number 0 */
<> 144:ef7eb2e8f9f7 181 #define FLASH_SECTOR_1 ((uint32_t)1U) /*!< Sector Number 1 */
<> 144:ef7eb2e8f9f7 182 #define FLASH_SECTOR_2 ((uint32_t)2U) /*!< Sector Number 2 */
<> 144:ef7eb2e8f9f7 183 #define FLASH_SECTOR_3 ((uint32_t)3U) /*!< Sector Number 3 */
<> 144:ef7eb2e8f9f7 184 #define FLASH_SECTOR_4 ((uint32_t)4U) /*!< Sector Number 4 */
<> 144:ef7eb2e8f9f7 185 #define FLASH_SECTOR_5 ((uint32_t)5U) /*!< Sector Number 5 */
<> 144:ef7eb2e8f9f7 186 #define FLASH_SECTOR_6 ((uint32_t)6U) /*!< Sector Number 6 */
<> 144:ef7eb2e8f9f7 187 #define FLASH_SECTOR_7 ((uint32_t)7U) /*!< Sector Number 7 */
<> 144:ef7eb2e8f9f7 188 /**
<> 144:ef7eb2e8f9f7 189 * @}
<> 144:ef7eb2e8f9f7 190 */
<> 144:ef7eb2e8f9f7 191
<> 144:ef7eb2e8f9f7 192 /**
<> 144:ef7eb2e8f9f7 193 * @}
<> 144:ef7eb2e8f9f7 194 */
<> 144:ef7eb2e8f9f7 195
<> 144:ef7eb2e8f9f7 196 /* Exported macro ------------------------------------------------------------*/
<> 144:ef7eb2e8f9f7 197 /** @defgroup FLASH_Exported_Macros FLASH Exported Macros
<> 144:ef7eb2e8f9f7 198 * @{
<> 144:ef7eb2e8f9f7 199 */
<> 144:ef7eb2e8f9f7 200 /**
<> 144:ef7eb2e8f9f7 201 * @brief Set the FLASH Latency.
<> 144:ef7eb2e8f9f7 202 * @param __LATENCY__: FLASH Latency
<> 144:ef7eb2e8f9f7 203 * The value of this parameter depend on device used within the same series
<> 144:ef7eb2e8f9f7 204 * @retval none
<> 144:ef7eb2e8f9f7 205 */
<> 144:ef7eb2e8f9f7 206 #define __HAL_FLASH_SET_LATENCY(__LATENCY__) \
<> 144:ef7eb2e8f9f7 207 MODIFY_REG(FLASH->ACR, FLASH_ACR_LATENCY, (uint32_t)(__LATENCY__))
<> 144:ef7eb2e8f9f7 208
<> 144:ef7eb2e8f9f7 209 /**
<> 144:ef7eb2e8f9f7 210 * @brief Get the FLASH Latency.
<> 144:ef7eb2e8f9f7 211 * @retval FLASH Latency
<> 144:ef7eb2e8f9f7 212 * The value of this parameter depend on device used within the same series
<> 144:ef7eb2e8f9f7 213 */
<> 144:ef7eb2e8f9f7 214 #define __HAL_FLASH_GET_LATENCY() (READ_BIT((FLASH->ACR), FLASH_ACR_LATENCY))
<> 144:ef7eb2e8f9f7 215
<> 144:ef7eb2e8f9f7 216 /**
<> 144:ef7eb2e8f9f7 217 * @brief Enable the FLASH prefetch buffer.
<> 144:ef7eb2e8f9f7 218 * @retval none
<> 144:ef7eb2e8f9f7 219 */
<> 144:ef7eb2e8f9f7 220 #define __HAL_FLASH_PREFETCH_BUFFER_ENABLE() (FLASH->ACR |= FLASH_ACR_PRFTEN)
<> 144:ef7eb2e8f9f7 221
<> 144:ef7eb2e8f9f7 222 /**
<> 144:ef7eb2e8f9f7 223 * @brief Disable the FLASH prefetch buffer.
<> 144:ef7eb2e8f9f7 224 * @retval none
<> 144:ef7eb2e8f9f7 225 */
<> 144:ef7eb2e8f9f7 226 #define __HAL_FLASH_PREFETCH_BUFFER_DISABLE() (FLASH->ACR &= (~FLASH_ACR_PRFTEN))
<> 144:ef7eb2e8f9f7 227
<> 144:ef7eb2e8f9f7 228 /**
<> 144:ef7eb2e8f9f7 229 * @brief Enable the FLASH Adaptive Real-Time memory accelerator.
<> 144:ef7eb2e8f9f7 230 * @note The ART accelerator is available only for flash access on ITCM interface.
<> 144:ef7eb2e8f9f7 231 * @retval none
<> 144:ef7eb2e8f9f7 232 */
<> 144:ef7eb2e8f9f7 233 #define __HAL_FLASH_ART_ENABLE() SET_BIT(FLASH->ACR, FLASH_ACR_ARTEN)
<> 144:ef7eb2e8f9f7 234
<> 144:ef7eb2e8f9f7 235 /**
<> 144:ef7eb2e8f9f7 236 * @brief Disable the FLASH Adaptive Real-Time memory accelerator.
<> 144:ef7eb2e8f9f7 237 * @retval none
<> 144:ef7eb2e8f9f7 238 */
<> 144:ef7eb2e8f9f7 239 #define __HAL_FLASH_ART_DISABLE() CLEAR_BIT(FLASH->ACR, FLASH_ACR_ARTEN)
<> 144:ef7eb2e8f9f7 240
<> 144:ef7eb2e8f9f7 241 /**
<> 144:ef7eb2e8f9f7 242 * @brief Resets the FLASH Adaptive Real-Time memory accelerator.
<> 144:ef7eb2e8f9f7 243 * @note This function must be used only when the Adaptive Real-Time memory accelerator
<> 144:ef7eb2e8f9f7 244 * is disabled.
<> 144:ef7eb2e8f9f7 245 * @retval None
<> 144:ef7eb2e8f9f7 246 */
<> 144:ef7eb2e8f9f7 247 #define __HAL_FLASH_ART_RESET() (FLASH->ACR |= FLASH_ACR_ARTRST)
<> 144:ef7eb2e8f9f7 248
<> 144:ef7eb2e8f9f7 249 /**
<> 144:ef7eb2e8f9f7 250 * @brief Enable the specified FLASH interrupt.
<> 144:ef7eb2e8f9f7 251 * @param __INTERRUPT__ : FLASH interrupt
<> 144:ef7eb2e8f9f7 252 * This parameter can be any combination of the following values:
<> 144:ef7eb2e8f9f7 253 * @arg FLASH_IT_EOP: End of FLASH Operation Interrupt
<> 144:ef7eb2e8f9f7 254 * @arg FLASH_IT_ERR: Error Interrupt
<> 144:ef7eb2e8f9f7 255 * @retval none
<> 144:ef7eb2e8f9f7 256 */
<> 144:ef7eb2e8f9f7 257 #define __HAL_FLASH_ENABLE_IT(__INTERRUPT__) (FLASH->CR |= (__INTERRUPT__))
<> 144:ef7eb2e8f9f7 258
<> 144:ef7eb2e8f9f7 259 /**
<> 144:ef7eb2e8f9f7 260 * @brief Disable the specified FLASH interrupt.
<> 144:ef7eb2e8f9f7 261 * @param __INTERRUPT__ : FLASH interrupt
<> 144:ef7eb2e8f9f7 262 * This parameter can be any combination of the following values:
<> 144:ef7eb2e8f9f7 263 * @arg FLASH_IT_EOP: End of FLASH Operation Interrupt
<> 144:ef7eb2e8f9f7 264 * @arg FLASH_IT_ERR: Error Interrupt
<> 144:ef7eb2e8f9f7 265 * @retval none
<> 144:ef7eb2e8f9f7 266 */
<> 144:ef7eb2e8f9f7 267 #define __HAL_FLASH_DISABLE_IT(__INTERRUPT__) (FLASH->CR &= ~(uint32_t)(__INTERRUPT__))
<> 144:ef7eb2e8f9f7 268
<> 144:ef7eb2e8f9f7 269 /**
<> 144:ef7eb2e8f9f7 270 * @brief Get the specified FLASH flag status.
<> 144:ef7eb2e8f9f7 271 * @param __FLAG__: specifies the FLASH flag to check.
<> 144:ef7eb2e8f9f7 272 * This parameter can be one of the following values:
<> 144:ef7eb2e8f9f7 273 * @arg FLASH_FLAG_EOP : FLASH End of Operation flag
<> 144:ef7eb2e8f9f7 274 * @arg FLASH_FLAG_OPERR : FLASH operation Error flag
<> 144:ef7eb2e8f9f7 275 * @arg FLASH_FLAG_WRPERR: FLASH Write protected error flag
<> 144:ef7eb2e8f9f7 276 * @arg FLASH_FLAG_PGAERR: FLASH Programming Alignment error flag
<> 144:ef7eb2e8f9f7 277 * @arg FLASH_FLAG_PGPERR: FLASH Programming Parallelism error flag
<> 144:ef7eb2e8f9f7 278 * @arg FLASH_FLAG_ERSERR : FLASH Erasing Sequence error flag
<> 144:ef7eb2e8f9f7 279 * @arg FLASH_FLAG_BSY : FLASH Busy flag
<> 144:ef7eb2e8f9f7 280 * @retval The new state of __FLAG__ (SET or RESET).
<> 144:ef7eb2e8f9f7 281 */
<> 144:ef7eb2e8f9f7 282 #define __HAL_FLASH_GET_FLAG(__FLAG__) ((FLASH->SR & (__FLAG__)))
<> 144:ef7eb2e8f9f7 283
<> 144:ef7eb2e8f9f7 284 /**
<> 144:ef7eb2e8f9f7 285 * @brief Clear the specified FLASH flag.
<> 144:ef7eb2e8f9f7 286 * @param __FLAG__: specifies the FLASH flags to clear.
<> 144:ef7eb2e8f9f7 287 * This parameter can be any combination of the following values:
<> 144:ef7eb2e8f9f7 288 * @arg FLASH_FLAG_EOP : FLASH End of Operation flag
<> 144:ef7eb2e8f9f7 289 * @arg FLASH_FLAG_OPERR : FLASH operation Error flag
<> 144:ef7eb2e8f9f7 290 * @arg FLASH_FLAG_WRPERR: FLASH Write protected error flag
<> 144:ef7eb2e8f9f7 291 * @arg FLASH_FLAG_PGAERR: FLASH Programming Alignment error flag
<> 144:ef7eb2e8f9f7 292 * @arg FLASH_FLAG_PGPERR: FLASH Programming Parallelism error flag
<> 144:ef7eb2e8f9f7 293 * @arg FLASH_FLAG_ERSERR : FLASH Erasing Sequence error flag
<> 144:ef7eb2e8f9f7 294 * @retval none
<> 144:ef7eb2e8f9f7 295 */
<> 144:ef7eb2e8f9f7 296 #define __HAL_FLASH_CLEAR_FLAG(__FLAG__) (FLASH->SR = (__FLAG__))
<> 144:ef7eb2e8f9f7 297 /**
<> 144:ef7eb2e8f9f7 298 * @}
<> 144:ef7eb2e8f9f7 299 */
<> 144:ef7eb2e8f9f7 300
<> 144:ef7eb2e8f9f7 301 /* Include FLASH HAL Extension module */
<> 144:ef7eb2e8f9f7 302 #include "stm32f7xx_hal_flash_ex.h"
<> 144:ef7eb2e8f9f7 303
<> 144:ef7eb2e8f9f7 304 /* Exported functions --------------------------------------------------------*/
<> 144:ef7eb2e8f9f7 305 /** @addtogroup FLASH_Exported_Functions
<> 144:ef7eb2e8f9f7 306 * @{
<> 144:ef7eb2e8f9f7 307 */
<> 144:ef7eb2e8f9f7 308 /** @addtogroup FLASH_Exported_Functions_Group1
<> 144:ef7eb2e8f9f7 309 * @{
<> 144:ef7eb2e8f9f7 310 */
<> 144:ef7eb2e8f9f7 311 /* Program operation functions ***********************************************/
<> 144:ef7eb2e8f9f7 312 HAL_StatusTypeDef HAL_FLASH_Program(uint32_t TypeProgram, uint32_t Address, uint64_t Data);
<> 144:ef7eb2e8f9f7 313 HAL_StatusTypeDef HAL_FLASH_Program_IT(uint32_t TypeProgram, uint32_t Address, uint64_t Data);
<> 144:ef7eb2e8f9f7 314 /* FLASH IRQ handler method */
<> 144:ef7eb2e8f9f7 315 void HAL_FLASH_IRQHandler(void);
<> 144:ef7eb2e8f9f7 316 /* Callbacks in non blocking modes */
<> 144:ef7eb2e8f9f7 317 void HAL_FLASH_EndOfOperationCallback(uint32_t ReturnValue);
<> 144:ef7eb2e8f9f7 318 void HAL_FLASH_OperationErrorCallback(uint32_t ReturnValue);
<> 144:ef7eb2e8f9f7 319 /**
<> 144:ef7eb2e8f9f7 320 * @}
<> 144:ef7eb2e8f9f7 321 */
<> 144:ef7eb2e8f9f7 322
<> 144:ef7eb2e8f9f7 323 /** @addtogroup FLASH_Exported_Functions_Group2
<> 144:ef7eb2e8f9f7 324 * @{
<> 144:ef7eb2e8f9f7 325 */
<> 144:ef7eb2e8f9f7 326 /* Peripheral Control functions **********************************************/
<> 144:ef7eb2e8f9f7 327 HAL_StatusTypeDef HAL_FLASH_Unlock(void);
<> 144:ef7eb2e8f9f7 328 HAL_StatusTypeDef HAL_FLASH_Lock(void);
<> 144:ef7eb2e8f9f7 329 HAL_StatusTypeDef HAL_FLASH_OB_Unlock(void);
<> 144:ef7eb2e8f9f7 330 HAL_StatusTypeDef HAL_FLASH_OB_Lock(void);
<> 144:ef7eb2e8f9f7 331 /* Option bytes control */
<> 144:ef7eb2e8f9f7 332 HAL_StatusTypeDef HAL_FLASH_OB_Launch(void);
<> 144:ef7eb2e8f9f7 333 /**
<> 144:ef7eb2e8f9f7 334 * @}
<> 144:ef7eb2e8f9f7 335 */
<> 144:ef7eb2e8f9f7 336
<> 144:ef7eb2e8f9f7 337 /** @addtogroup FLASH_Exported_Functions_Group3
<> 144:ef7eb2e8f9f7 338 * @{
<> 144:ef7eb2e8f9f7 339 */
<> 144:ef7eb2e8f9f7 340 /* Peripheral State functions ************************************************/
<> 144:ef7eb2e8f9f7 341 uint32_t HAL_FLASH_GetError(void);
<> 144:ef7eb2e8f9f7 342 HAL_StatusTypeDef FLASH_WaitForLastOperation(uint32_t Timeout);
<> 144:ef7eb2e8f9f7 343 /**
<> 144:ef7eb2e8f9f7 344 * @}
<> 144:ef7eb2e8f9f7 345 */
<> 144:ef7eb2e8f9f7 346
<> 144:ef7eb2e8f9f7 347 /**
<> 144:ef7eb2e8f9f7 348 * @}
<> 144:ef7eb2e8f9f7 349 */
<> 144:ef7eb2e8f9f7 350 /* Private types -------------------------------------------------------------*/
<> 144:ef7eb2e8f9f7 351 /* Private variables ---------------------------------------------------------*/
<> 144:ef7eb2e8f9f7 352 /** @defgroup FLASH_Private_Variables FLASH Private Variables
<> 144:ef7eb2e8f9f7 353 * @{
<> 144:ef7eb2e8f9f7 354 */
<> 144:ef7eb2e8f9f7 355
<> 144:ef7eb2e8f9f7 356 /**
<> 144:ef7eb2e8f9f7 357 * @}
<> 144:ef7eb2e8f9f7 358 */
<> 144:ef7eb2e8f9f7 359 /* Private constants ---------------------------------------------------------*/
<> 144:ef7eb2e8f9f7 360 /** @defgroup FLASH_Private_Constants FLASH Private Constants
<> 144:ef7eb2e8f9f7 361 * @{
<> 144:ef7eb2e8f9f7 362 */
<> 144:ef7eb2e8f9f7 363
<> 144:ef7eb2e8f9f7 364 /**
<> 144:ef7eb2e8f9f7 365 * @brief OPTCR register byte 1 (Bits[15:8]) base address
<> 144:ef7eb2e8f9f7 366 */
<> 144:ef7eb2e8f9f7 367 #define OPTCR_BYTE1_ADDRESS ((uint32_t)0x40023C15)
<> 144:ef7eb2e8f9f7 368
<> 144:ef7eb2e8f9f7 369 /**
<> 144:ef7eb2e8f9f7 370 * @}
<> 144:ef7eb2e8f9f7 371 */
<> 144:ef7eb2e8f9f7 372
<> 144:ef7eb2e8f9f7 373 /* Private macros ------------------------------------------------------------*/
<> 144:ef7eb2e8f9f7 374 /** @defgroup FLASH_Private_Macros FLASH Private Macros
<> 144:ef7eb2e8f9f7 375 * @{
<> 144:ef7eb2e8f9f7 376 */
<> 144:ef7eb2e8f9f7 377
<> 144:ef7eb2e8f9f7 378 /** @defgroup FLASH_IS_FLASH_Definitions FLASH Private macros to check input parameters
<> 144:ef7eb2e8f9f7 379 * @{
<> 144:ef7eb2e8f9f7 380 */
<> 144:ef7eb2e8f9f7 381 #define IS_FLASH_TYPEPROGRAM(VALUE)(((VALUE) == FLASH_TYPEPROGRAM_BYTE) || \
<> 144:ef7eb2e8f9f7 382 ((VALUE) == FLASH_TYPEPROGRAM_HALFWORD) || \
<> 144:ef7eb2e8f9f7 383 ((VALUE) == FLASH_TYPEPROGRAM_WORD) || \
<> 144:ef7eb2e8f9f7 384 ((VALUE) == FLASH_TYPEPROGRAM_DOUBLEWORD))
<> 144:ef7eb2e8f9f7 385 /**
<> 144:ef7eb2e8f9f7 386 * @}
<> 144:ef7eb2e8f9f7 387 */
<> 144:ef7eb2e8f9f7 388
<> 144:ef7eb2e8f9f7 389 /**
<> 144:ef7eb2e8f9f7 390 * @}
<> 144:ef7eb2e8f9f7 391 */
<> 144:ef7eb2e8f9f7 392
<> 144:ef7eb2e8f9f7 393 /* Private functions ---------------------------------------------------------*/
<> 144:ef7eb2e8f9f7 394 /** @defgroup FLASH_Private_Functions FLASH Private Functions
<> 144:ef7eb2e8f9f7 395 * @{
<> 144:ef7eb2e8f9f7 396 */
<> 144:ef7eb2e8f9f7 397
<> 144:ef7eb2e8f9f7 398 /**
<> 144:ef7eb2e8f9f7 399 * @}
<> 144:ef7eb2e8f9f7 400 */
<> 144:ef7eb2e8f9f7 401
<> 144:ef7eb2e8f9f7 402 /**
<> 144:ef7eb2e8f9f7 403 * @}
<> 144:ef7eb2e8f9f7 404 */
<> 144:ef7eb2e8f9f7 405
<> 144:ef7eb2e8f9f7 406 /**
<> 144:ef7eb2e8f9f7 407 * @}
<> 144:ef7eb2e8f9f7 408 */
<> 144:ef7eb2e8f9f7 409
<> 144:ef7eb2e8f9f7 410 #ifdef __cplusplus
<> 144:ef7eb2e8f9f7 411 }
<> 144:ef7eb2e8f9f7 412 #endif
<> 144:ef7eb2e8f9f7 413
<> 144:ef7eb2e8f9f7 414 #endif /* __STM32F7xx_HAL_FLASH_H */
<> 144:ef7eb2e8f9f7 415
<> 144:ef7eb2e8f9f7 416 /************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/