Simple USBHost library for Nucleo F446RE/F411RE/F401RE FRDM-KL46Z/KL25Z/F64F LPC4088/LPC1768

Dependencies:   FATFileSystem

Dependents:   F401RE-BTstack_example F401RE-USBHostMSD_HelloWorld

Fork of KL46Z-USBHost by Norimasa Okamoto

簡易USBホストライブラリです。
official-USBHostの下位互換で対応プログラムを僅かな修正で動かすことが出来ます。

Platforms

  • Nucleo F446RE
  • Nucleo F411RE
  • Nucleo F401RE
  • FRDM-K64F
  • FRDM-KL46Z
  • FRDM-KL25Z
  • LPC4088
  • LPC1768

Nucleo F446RE/F411RE/F401REのUSB接続方法

ST morphoUSB
U5V (CN10-8)VBUS (1 RED)
PA11 (CN10-14)DM  (2 WHITE)
PA12 (CN10-12)DP  (3 GREEN)
GND (CN10-20)GND (4 BLACK)

Examples

Import programF446RE-USBHostMouse_HelloWorld

USBHostMouse Hello World for ST-Nucleo-F446RE

Import programF401RE-USBHostMSD_HelloWorld

Simple USBHost MSD(USB flash drive) for Nucleo F401RE/FRDM-KL46Z test program

Import programF401RE-USBHostC270_example

Simple USBHost WebCam test program

Import programK64F_USBHostC270_example

Simple USBHost C270 example

Import programF401RE-BTstack_example

BTstack for Nucleo F401RE/FRDM-KL46Z example program

Import programUSBHostRSSI_example

Bluetooth device discovery example program.

Import programKL46Z-USBHostGPS_HelloWorld

Simple USBHost GPS Dongle Receiver for FRDM-KL46Z test program

Committer:
va009039
Date:
Sun May 01 03:18:11 2016 +0000
Revision:
23:4ab8bc835303
Parent:
12:b91fdea8c0a7
add ST-Nucleo-F446RE

Who changed what in which revision?

UserRevisionLine numberNew contents of line
va009039 12:b91fdea8c0a7 1 /* mbed USBHost Library
va009039 12:b91fdea8c0a7 2 * Copyright (c) 2006-2013 ARM Limited
va009039 12:b91fdea8c0a7 3 *
va009039 12:b91fdea8c0a7 4 * Licensed under the Apache License, Version 2.0 (the "License");
va009039 12:b91fdea8c0a7 5 * you may not use this file except in compliance with the License.
va009039 12:b91fdea8c0a7 6 * You may obtain a copy of the License at
va009039 12:b91fdea8c0a7 7 *
va009039 12:b91fdea8c0a7 8 * http://www.apache.org/licenses/LICENSE-2.0
va009039 12:b91fdea8c0a7 9 *
va009039 12:b91fdea8c0a7 10 * Unless required by applicable law or agreed to in writing, software
va009039 12:b91fdea8c0a7 11 * distributed under the License is distributed on an "AS IS" BASIS,
va009039 12:b91fdea8c0a7 12 * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
va009039 12:b91fdea8c0a7 13 * See the License for the specific language governing permissions and
va009039 12:b91fdea8c0a7 14 * limitations under the License.
va009039 12:b91fdea8c0a7 15 */
va009039 12:b91fdea8c0a7 16
va009039 12:b91fdea8c0a7 17 #include "USBDeviceConnected.h"
va009039 12:b91fdea8c0a7 18 #include "dbg.h"
va009039 12:b91fdea8c0a7 19
va009039 12:b91fdea8c0a7 20 USBDeviceConnected::USBDeviceConnected() {
va009039 12:b91fdea8c0a7 21 init();
va009039 12:b91fdea8c0a7 22 }
va009039 12:b91fdea8c0a7 23
va009039 12:b91fdea8c0a7 24 void USBDeviceConnected::init() {
va009039 12:b91fdea8c0a7 25 port = 0;
va009039 12:b91fdea8c0a7 26 vid = 0;
va009039 12:b91fdea8c0a7 27 pid = 0;
va009039 12:b91fdea8c0a7 28 nb_interf = 0;
va009039 12:b91fdea8c0a7 29 enumerated = false;
va009039 12:b91fdea8c0a7 30 device_class = 0;
va009039 12:b91fdea8c0a7 31 device_subclass = 0;
va009039 12:b91fdea8c0a7 32 proto = 0;
va009039 12:b91fdea8c0a7 33 lowSpeed = false;
va009039 12:b91fdea8c0a7 34 hub_parent = NULL;
va009039 12:b91fdea8c0a7 35 }
va009039 12:b91fdea8c0a7 36
va009039 12:b91fdea8c0a7 37 bool USBDeviceConnected::addInterface(uint8_t intf_nb, uint8_t intf_class, uint8_t intf_subclass, uint8_t intf_protocol) {
va009039 12:b91fdea8c0a7 38 USB_DBG("intf_nb=%d", intf_nb);
va009039 12:b91fdea8c0a7 39 if (intf.count(intf_nb) > 0) {
va009039 12:b91fdea8c0a7 40 return false;
va009039 12:b91fdea8c0a7 41 }
va009039 12:b91fdea8c0a7 42 intf[intf_nb] = new INTERFACE(intf_class, intf_subclass, intf_protocol);
va009039 12:b91fdea8c0a7 43 return true;
va009039 12:b91fdea8c0a7 44 }
va009039 12:b91fdea8c0a7 45
va009039 12:b91fdea8c0a7 46 bool USBDeviceConnected::addEndpoint(uint8_t intf_nb, USBEndpoint * ept) {
va009039 12:b91fdea8c0a7 47 if (intf.count(intf_nb) > 0) {
va009039 12:b91fdea8c0a7 48 intf[intf_nb]->ep.push_back(ept);
va009039 12:b91fdea8c0a7 49 return true;
va009039 12:b91fdea8c0a7 50 }
va009039 12:b91fdea8c0a7 51 return false;
va009039 12:b91fdea8c0a7 52 }
va009039 12:b91fdea8c0a7 53
va009039 12:b91fdea8c0a7 54 void USBDeviceConnected::init(USBDeviceConnected* parent, uint8_t port_, bool lowSpeed_) {
va009039 12:b91fdea8c0a7 55 USB_DBG("init dev: %p", this);
va009039 12:b91fdea8c0a7 56 init();
va009039 12:b91fdea8c0a7 57 hub_parent = parent;
va009039 12:b91fdea8c0a7 58 port = port_;
va009039 12:b91fdea8c0a7 59 lowSpeed = lowSpeed_;
va009039 12:b91fdea8c0a7 60 }
va009039 12:b91fdea8c0a7 61
va009039 12:b91fdea8c0a7 62 void USBDeviceConnected::disconnect() {
va009039 12:b91fdea8c0a7 63 //for(int i = 0; i < MAX_INTF; i++) {
va009039 12:b91fdea8c0a7 64 // intf[i].detach.call();
va009039 12:b91fdea8c0a7 65 //}
va009039 12:b91fdea8c0a7 66 //init();
va009039 12:b91fdea8c0a7 67 }
va009039 12:b91fdea8c0a7 68
va009039 12:b91fdea8c0a7 69
va009039 12:b91fdea8c0a7 70 USBEndpoint * USBDeviceConnected::getEndpoint(uint8_t intf_nb, ENDPOINT_TYPE type, ENDPOINT_DIRECTION dir, uint8_t index) {
va009039 12:b91fdea8c0a7 71 USB_DBG("intf_nb=%d", intf_nb);
va009039 12:b91fdea8c0a7 72 USB_TEST_ASSERT(intf.count(intf_nb) > 0);
va009039 12:b91fdea8c0a7 73 INTERFACE* inter = intf[intf_nb];
va009039 12:b91fdea8c0a7 74 for (int i = 0; i < inter->ep.size(); i++) {
va009039 12:b91fdea8c0a7 75 if ((inter->ep[i]->getType() == type) && (inter->ep[i]->getDir() == dir)) {
va009039 12:b91fdea8c0a7 76 if(index) {
va009039 12:b91fdea8c0a7 77 index--;
va009039 12:b91fdea8c0a7 78 } else {
va009039 12:b91fdea8c0a7 79 return inter->ep[i];
va009039 12:b91fdea8c0a7 80 }
va009039 12:b91fdea8c0a7 81 }
va009039 12:b91fdea8c0a7 82 }
va009039 12:b91fdea8c0a7 83 return NULL;
va009039 12:b91fdea8c0a7 84 }
va009039 12:b91fdea8c0a7 85
va009039 12:b91fdea8c0a7 86 USBEndpoint * USBDeviceConnected::getEndpoint(uint8_t intf_nb, uint8_t index) {
va009039 12:b91fdea8c0a7 87 USB_TEST_ASSERT(intf.count(intf_nb) > 0);
va009039 12:b91fdea8c0a7 88 return intf[intf_nb]->ep[index];
va009039 12:b91fdea8c0a7 89 }