mbed library sources. Supersedes mbed-src.

Dependents:   Nucleo_Hello_Encoder BLE_iBeaconScan AM1805_DEMO DISCO-F429ZI_ExportTemplate1 ... more

Committer:
AnnaBridge
Date:
Wed Feb 20 22:31:08 2019 +0000
Revision:
189:f392fc9709a3
Parent:
188:bcfe06ba3d64
mbed library release version 165

Who changed what in which revision?

UserRevisionLine numberNew contents of line
<> 144:ef7eb2e8f9f7 1 /* mbed Microcontroller Library
<> 144:ef7eb2e8f9f7 2 * Copyright (c) 2006-2013 ARM Limited
<> 144:ef7eb2e8f9f7 3 *
<> 144:ef7eb2e8f9f7 4 * Licensed under the Apache License, Version 2.0 (the "License");
<> 144:ef7eb2e8f9f7 5 * you may not use this file except in compliance with the License.
<> 144:ef7eb2e8f9f7 6 * You may obtain a copy of the License at
<> 144:ef7eb2e8f9f7 7 *
<> 144:ef7eb2e8f9f7 8 * http://www.apache.org/licenses/LICENSE-2.0
<> 144:ef7eb2e8f9f7 9 *
<> 144:ef7eb2e8f9f7 10 * Unless required by applicable law or agreed to in writing, software
<> 144:ef7eb2e8f9f7 11 * distributed under the License is distributed on an "AS IS" BASIS,
<> 144:ef7eb2e8f9f7 12 * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
<> 144:ef7eb2e8f9f7 13 * See the License for the specific language governing permissions and
<> 144:ef7eb2e8f9f7 14 * limitations under the License.
<> 144:ef7eb2e8f9f7 15 */
<> 144:ef7eb2e8f9f7 16 // math.h required for floating point operations for baud rate calculation
<> 144:ef7eb2e8f9f7 17 #include "mbed_assert.h"
<> 144:ef7eb2e8f9f7 18 #include <math.h>
<> 144:ef7eb2e8f9f7 19 #include <string.h>
<> 144:ef7eb2e8f9f7 20 #include <stdlib.h>
<> 144:ef7eb2e8f9f7 21
<> 144:ef7eb2e8f9f7 22 #include "serial_api.h"
<> 144:ef7eb2e8f9f7 23 #include "cmsis.h"
<> 144:ef7eb2e8f9f7 24 #include "pinmap.h"
<> 144:ef7eb2e8f9f7 25
<> 144:ef7eb2e8f9f7 26 /******************************************************************************
<> 144:ef7eb2e8f9f7 27 * INITIALIZATION
<> 144:ef7eb2e8f9f7 28 ******************************************************************************/
<> 144:ef7eb2e8f9f7 29 #define UART_NUM 1
<> 144:ef7eb2e8f9f7 30
<> 144:ef7eb2e8f9f7 31 static const PinMap PinMap_UART_TX[] = {
<> 144:ef7eb2e8f9f7 32 {P2_8 , UART_0, 0x02},
<> 144:ef7eb2e8f9f7 33 {P3_5 , UART_0, 0x02},
<> 144:ef7eb2e8f9f7 34 {P3_0 , UART_0, 0x03},
<> 144:ef7eb2e8f9f7 35 {P1_7 , UART_0, 0x01},
<> 144:ef7eb2e8f9f7 36 {NC , NC , 0x00}
<> 144:ef7eb2e8f9f7 37 };
<> 144:ef7eb2e8f9f7 38
<> 144:ef7eb2e8f9f7 39 static const PinMap PinMap_UART_RX[] = {
<> 144:ef7eb2e8f9f7 40 {P2_7 , UART_0, 0x02},
<> 144:ef7eb2e8f9f7 41 {P3_4 , UART_0, 0x02},
<> 144:ef7eb2e8f9f7 42 {P3_1 , UART_0, 0x03},
<> 144:ef7eb2e8f9f7 43 {P1_6 , UART_0, 0x01},
<> 144:ef7eb2e8f9f7 44 {NC , NC , 0x00}
<> 144:ef7eb2e8f9f7 45 };
<> 144:ef7eb2e8f9f7 46
<> 144:ef7eb2e8f9f7 47 static uint32_t serial_irq_ids[UART_NUM] = {0};
<> 144:ef7eb2e8f9f7 48 static uart_irq_handler irq_handler;
<> 144:ef7eb2e8f9f7 49
<> 144:ef7eb2e8f9f7 50 int stdio_uart_inited = 0;
<> 144:ef7eb2e8f9f7 51 serial_t stdio_uart;
<> 144:ef7eb2e8f9f7 52
<> 144:ef7eb2e8f9f7 53 void serial_init(serial_t *obj, PinName tx, PinName rx) {
<> 144:ef7eb2e8f9f7 54 int is_stdio_uart = 0;
<> 144:ef7eb2e8f9f7 55
<> 144:ef7eb2e8f9f7 56 // determine the UART to use
<> 144:ef7eb2e8f9f7 57 UARTName uart_tx = (UARTName)pinmap_peripheral(tx, PinMap_UART_TX);
<> 144:ef7eb2e8f9f7 58 UARTName uart_rx = (UARTName)pinmap_peripheral(rx, PinMap_UART_RX);
<> 144:ef7eb2e8f9f7 59 UARTName uart = (UARTName)pinmap_merge(uart_tx, uart_rx);
<> 144:ef7eb2e8f9f7 60 MBED_ASSERT((int)uart != NC);
<> 144:ef7eb2e8f9f7 61
<> 144:ef7eb2e8f9f7 62 obj->uart = (LPC_UART_TypeDef *)uart;
<> 144:ef7eb2e8f9f7 63 LPC_SYSCON->SYSAHBCLKCTRL |= (1<<12);
<> 144:ef7eb2e8f9f7 64
<> 144:ef7eb2e8f9f7 65 // enable fifos and default rx trigger level
<> 144:ef7eb2e8f9f7 66 obj->uart->FCR = 1 << 0 // FIFO Enable - 0 = Disables, 1 = Enabled
<> 144:ef7eb2e8f9f7 67 | 0 << 1 // Rx Fifo Reset
<> 144:ef7eb2e8f9f7 68 | 0 << 2 // Tx Fifo Reset
<> 144:ef7eb2e8f9f7 69 | 0 << 6; // Rx irq trigger level - 0 = 1 char, 1 = 4 chars, 2 = 8 chars, 3 = 14 chars
<> 144:ef7eb2e8f9f7 70
<> 144:ef7eb2e8f9f7 71 // disable irqs
<> 144:ef7eb2e8f9f7 72 obj->uart->IER = 0 << 0 // Rx Data available irq enable
<> 144:ef7eb2e8f9f7 73 | 0 << 1 // Tx Fifo empty irq enable
<> 144:ef7eb2e8f9f7 74 | 0 << 2; // Rx Line Status irq enable
<> 144:ef7eb2e8f9f7 75
<> 144:ef7eb2e8f9f7 76 // set default baud rate and format
<> 144:ef7eb2e8f9f7 77 serial_baud (obj, 9600);
<> 144:ef7eb2e8f9f7 78 serial_format(obj, 8, ParityNone, 1);
<> 144:ef7eb2e8f9f7 79
<> 144:ef7eb2e8f9f7 80 // pinout the chosen uart
<> 144:ef7eb2e8f9f7 81 pinmap_pinout(tx, PinMap_UART_TX);
<> 144:ef7eb2e8f9f7 82 pinmap_pinout(rx, PinMap_UART_RX);
<> 144:ef7eb2e8f9f7 83
<> 144:ef7eb2e8f9f7 84 // set rx/tx pins in PullUp mode
<> 144:ef7eb2e8f9f7 85 if (tx != NC) {
<> 144:ef7eb2e8f9f7 86 pin_mode(tx, PullUp);
<> 144:ef7eb2e8f9f7 87 }
<> 144:ef7eb2e8f9f7 88 if (rx != NC) {
<> 144:ef7eb2e8f9f7 89 pin_mode(rx, PullUp);
<> 144:ef7eb2e8f9f7 90 }
<> 144:ef7eb2e8f9f7 91
<> 144:ef7eb2e8f9f7 92 switch (uart) {
<> 144:ef7eb2e8f9f7 93 case UART_0: obj->index = 0; break;
<> 144:ef7eb2e8f9f7 94 }
<> 144:ef7eb2e8f9f7 95
<> 144:ef7eb2e8f9f7 96 is_stdio_uart = (uart == STDIO_UART) ? (1) : (0);
<> 144:ef7eb2e8f9f7 97
<> 144:ef7eb2e8f9f7 98 if (is_stdio_uart) {
<> 144:ef7eb2e8f9f7 99 stdio_uart_inited = 1;
<> 144:ef7eb2e8f9f7 100 memcpy(&stdio_uart, obj, sizeof(serial_t));
<> 144:ef7eb2e8f9f7 101 }
<> 144:ef7eb2e8f9f7 102 }
<> 144:ef7eb2e8f9f7 103
<> 144:ef7eb2e8f9f7 104 void serial_free(serial_t *obj) {
<> 144:ef7eb2e8f9f7 105 serial_irq_ids[obj->index] = 0;
<> 144:ef7eb2e8f9f7 106 }
<> 144:ef7eb2e8f9f7 107
<> 144:ef7eb2e8f9f7 108 // serial_baud
<> 144:ef7eb2e8f9f7 109 // set the baud rate, taking in to account the current SystemFrequency
<> 144:ef7eb2e8f9f7 110 void serial_baud(serial_t *obj, int baudrate) {
<> 144:ef7eb2e8f9f7 111 LPC_SYSCON->UARTCLKDIV = 0x1;
<> 144:ef7eb2e8f9f7 112 uint32_t PCLK = SystemCoreClock;
<> 144:ef7eb2e8f9f7 113 // First we check to see if the basic divide with no DivAddVal/MulVal
<> 144:ef7eb2e8f9f7 114 // ratio gives us an integer result. If it does, we set DivAddVal = 0,
<> 144:ef7eb2e8f9f7 115 // MulVal = 1. Otherwise, we search the valid ratio value range to find
<> 144:ef7eb2e8f9f7 116 // the closest match. This could be more elegant, using search methods
<> 144:ef7eb2e8f9f7 117 // and/or lookup tables, but the brute force method is not that much
<> 144:ef7eb2e8f9f7 118 // slower, and is more maintainable.
<> 144:ef7eb2e8f9f7 119 uint16_t DL = PCLK / (16 * baudrate);
<> 144:ef7eb2e8f9f7 120
<> 144:ef7eb2e8f9f7 121 uint8_t DivAddVal = 0;
<> 144:ef7eb2e8f9f7 122 uint8_t MulVal = 1;
<> 144:ef7eb2e8f9f7 123 int hit = 0;
<> 144:ef7eb2e8f9f7 124 uint16_t dlv;
<> 144:ef7eb2e8f9f7 125 uint8_t mv, dav;
<> 144:ef7eb2e8f9f7 126 if ((PCLK % (16 * baudrate)) != 0) { // Checking for zero remainder
<> 144:ef7eb2e8f9f7 127 int err_best = baudrate, b;
<> 144:ef7eb2e8f9f7 128 for (mv = 1; mv < 16 && !hit; mv++)
<> 144:ef7eb2e8f9f7 129 {
<> 144:ef7eb2e8f9f7 130 for (dav = 0; dav < mv; dav++)
<> 144:ef7eb2e8f9f7 131 {
<> 144:ef7eb2e8f9f7 132 // baudrate = PCLK / (16 * dlv * (1 + (DivAdd / Mul))
<> 144:ef7eb2e8f9f7 133 // solving for dlv, we get dlv = mul * PCLK / (16 * baudrate * (divadd + mul))
<> 144:ef7eb2e8f9f7 134 // mul has 4 bits, PCLK has 27 so we have 1 bit headroom which can be used for rounding
<> 144:ef7eb2e8f9f7 135 // for many values of mul and PCLK we have 2 or more bits of headroom which can be used to improve precision
<> 144:ef7eb2e8f9f7 136 // note: X / 32 doesn't round correctly. Instead, we use ((X / 16) + 1) / 2 for correct rounding
<> 144:ef7eb2e8f9f7 137
<> 144:ef7eb2e8f9f7 138 if ((mv * PCLK * 2) & 0x80000000) // 1 bit headroom
<> 144:ef7eb2e8f9f7 139 dlv = ((((2 * mv * PCLK) / (baudrate * (dav + mv))) / 16) + 1) / 2;
<> 144:ef7eb2e8f9f7 140 else // 2 bits headroom, use more precision
<> 144:ef7eb2e8f9f7 141 dlv = ((((4 * mv * PCLK) / (baudrate * (dav + mv))) / 32) + 1) / 2;
<> 144:ef7eb2e8f9f7 142
<> 144:ef7eb2e8f9f7 143 // datasheet says if DLL==DLM==0, then 1 is used instead since divide by zero is ungood
<> 144:ef7eb2e8f9f7 144 if (dlv == 0)
<> 144:ef7eb2e8f9f7 145 dlv = 1;
<> 144:ef7eb2e8f9f7 146
<> 144:ef7eb2e8f9f7 147 // datasheet says if dav > 0 then DL must be >= 2
<> 144:ef7eb2e8f9f7 148 if ((dav > 0) && (dlv < 2))
<> 144:ef7eb2e8f9f7 149 dlv = 2;
<> 144:ef7eb2e8f9f7 150
<> 144:ef7eb2e8f9f7 151 // integer rearrangement of the baudrate equation (with rounding)
<> 144:ef7eb2e8f9f7 152 b = ((PCLK * mv / (dlv * (dav + mv) * 8)) + 1) / 2;
<> 144:ef7eb2e8f9f7 153
<> 144:ef7eb2e8f9f7 154 // check to see how we went
<> 144:ef7eb2e8f9f7 155 b = abs(b - baudrate);
<> 144:ef7eb2e8f9f7 156 if (b < err_best)
<> 144:ef7eb2e8f9f7 157 {
<> 144:ef7eb2e8f9f7 158 err_best = b;
<> 144:ef7eb2e8f9f7 159
<> 144:ef7eb2e8f9f7 160 DL = dlv;
<> 144:ef7eb2e8f9f7 161 MulVal = mv;
<> 144:ef7eb2e8f9f7 162 DivAddVal = dav;
<> 144:ef7eb2e8f9f7 163
<> 144:ef7eb2e8f9f7 164 if (b == baudrate)
<> 144:ef7eb2e8f9f7 165 {
<> 144:ef7eb2e8f9f7 166 hit = 1;
<> 144:ef7eb2e8f9f7 167 break;
<> 144:ef7eb2e8f9f7 168 }
<> 144:ef7eb2e8f9f7 169 }
<> 144:ef7eb2e8f9f7 170 }
<> 144:ef7eb2e8f9f7 171 }
<> 144:ef7eb2e8f9f7 172 }
<> 144:ef7eb2e8f9f7 173
<> 144:ef7eb2e8f9f7 174 // set LCR[DLAB] to enable writing to divider registers
<> 144:ef7eb2e8f9f7 175 obj->uart->LCR |= (1 << 7);
<> 144:ef7eb2e8f9f7 176
<> 144:ef7eb2e8f9f7 177 // set divider values
<> 144:ef7eb2e8f9f7 178 obj->uart->DLM = (DL >> 8) & 0xFF;
<> 144:ef7eb2e8f9f7 179 obj->uart->DLL = (DL >> 0) & 0xFF;
<> 144:ef7eb2e8f9f7 180 obj->uart->FDR = (uint32_t) DivAddVal << 0
<> 144:ef7eb2e8f9f7 181 | (uint32_t) MulVal << 4;
<> 144:ef7eb2e8f9f7 182
<> 144:ef7eb2e8f9f7 183 // clear LCR[DLAB]
<> 144:ef7eb2e8f9f7 184 obj->uart->LCR &= ~(1 << 7);
<> 144:ef7eb2e8f9f7 185 }
<> 144:ef7eb2e8f9f7 186
<> 144:ef7eb2e8f9f7 187 void serial_format(serial_t *obj, int data_bits, SerialParity parity, int stop_bits) {
<> 144:ef7eb2e8f9f7 188 MBED_ASSERT((stop_bits == 1) || (stop_bits == 2)); // 0: 1 stop bits, 1: 2 stop bits
<> 144:ef7eb2e8f9f7 189 MBED_ASSERT((data_bits > 4) && (data_bits < 9)); // 0: 5 data bits ... 3: 8 data bits
<> 144:ef7eb2e8f9f7 190 MBED_ASSERT((parity == ParityNone) || (parity == ParityOdd) || (parity == ParityEven) ||
<> 144:ef7eb2e8f9f7 191 (parity == ParityForced1) || (parity == ParityForced0));
<> 144:ef7eb2e8f9f7 192
<> 144:ef7eb2e8f9f7 193 stop_bits -= 1;
<> 144:ef7eb2e8f9f7 194 data_bits -= 5;
<> 144:ef7eb2e8f9f7 195
AnnaBridge 188:bcfe06ba3d64 196 int parity_enable = 0, parity_select = 0;
<> 144:ef7eb2e8f9f7 197 switch (parity) {
<> 144:ef7eb2e8f9f7 198 case ParityNone: parity_enable = 0; parity_select = 0; break;
<> 144:ef7eb2e8f9f7 199 case ParityOdd : parity_enable = 1; parity_select = 0; break;
<> 144:ef7eb2e8f9f7 200 case ParityEven: parity_enable = 1; parity_select = 1; break;
<> 144:ef7eb2e8f9f7 201 case ParityForced1: parity_enable = 1; parity_select = 2; break;
<> 144:ef7eb2e8f9f7 202 case ParityForced0: parity_enable = 1; parity_select = 3; break;
<> 144:ef7eb2e8f9f7 203 default:
<> 144:ef7eb2e8f9f7 204 break;
<> 144:ef7eb2e8f9f7 205 }
<> 144:ef7eb2e8f9f7 206
<> 144:ef7eb2e8f9f7 207 obj->uart->LCR = data_bits << 0
<> 144:ef7eb2e8f9f7 208 | stop_bits << 2
<> 144:ef7eb2e8f9f7 209 | parity_enable << 3
<> 144:ef7eb2e8f9f7 210 | parity_select << 4;
<> 144:ef7eb2e8f9f7 211 }
<> 144:ef7eb2e8f9f7 212
<> 144:ef7eb2e8f9f7 213 /******************************************************************************
<> 144:ef7eb2e8f9f7 214 * INTERRUPTS HANDLING
<> 144:ef7eb2e8f9f7 215 ******************************************************************************/
<> 144:ef7eb2e8f9f7 216 static inline void uart_irq(uint32_t iir, uint32_t index) {
<> 144:ef7eb2e8f9f7 217 // [Chapter 14] LPC17xx UART0/2/3: UARTn Interrupt Handling
<> 144:ef7eb2e8f9f7 218 SerialIrq irq_type;
<> 144:ef7eb2e8f9f7 219 switch (iir) {
<> 144:ef7eb2e8f9f7 220 case 1: irq_type = TxIrq; break;
<> 144:ef7eb2e8f9f7 221 case 2: irq_type = RxIrq; break;
<> 144:ef7eb2e8f9f7 222 default: return;
<> 144:ef7eb2e8f9f7 223 }
<> 144:ef7eb2e8f9f7 224
<> 144:ef7eb2e8f9f7 225 if (serial_irq_ids[index] != 0)
<> 144:ef7eb2e8f9f7 226 irq_handler(serial_irq_ids[index], irq_type);
<> 144:ef7eb2e8f9f7 227 }
<> 144:ef7eb2e8f9f7 228
<> 144:ef7eb2e8f9f7 229 void uart0_irq() {uart_irq((LPC_UART->IIR >> 1) & 0x7, 0);}
<> 144:ef7eb2e8f9f7 230
<> 144:ef7eb2e8f9f7 231 void serial_irq_handler(serial_t *obj, uart_irq_handler handler, uint32_t id) {
<> 144:ef7eb2e8f9f7 232 irq_handler = handler;
<> 144:ef7eb2e8f9f7 233 serial_irq_ids[obj->index] = id;
<> 144:ef7eb2e8f9f7 234 }
<> 144:ef7eb2e8f9f7 235
<> 144:ef7eb2e8f9f7 236 void serial_irq_set(serial_t *obj, SerialIrq irq, uint32_t enable) {
<> 144:ef7eb2e8f9f7 237 IRQn_Type irq_n = (IRQn_Type)0;
<> 144:ef7eb2e8f9f7 238 uint32_t vector = 0;
<> 144:ef7eb2e8f9f7 239 switch ((int)obj->uart) {
<> 144:ef7eb2e8f9f7 240 case UART_0:
<> 144:ef7eb2e8f9f7 241 irq_n=UART_IRQn;
<> 144:ef7eb2e8f9f7 242 vector = (uint32_t)&uart0_irq;
<> 144:ef7eb2e8f9f7 243 break;
<> 144:ef7eb2e8f9f7 244 default:
<> 144:ef7eb2e8f9f7 245 return;
<> 144:ef7eb2e8f9f7 246 }
<> 144:ef7eb2e8f9f7 247
<> 144:ef7eb2e8f9f7 248 if (enable) {
<> 144:ef7eb2e8f9f7 249 obj->uart->IER |= 1 << irq;
<> 144:ef7eb2e8f9f7 250 NVIC_SetVector(irq_n, vector);
<> 144:ef7eb2e8f9f7 251 NVIC_EnableIRQ(irq_n);
<> 144:ef7eb2e8f9f7 252 } else { // disable
<> 144:ef7eb2e8f9f7 253 int all_disabled = 0;
<> 144:ef7eb2e8f9f7 254 SerialIrq other_irq = (irq == RxIrq) ? (TxIrq) : (RxIrq);
<> 144:ef7eb2e8f9f7 255
<> 144:ef7eb2e8f9f7 256 obj->uart->IER &= ~(1 << irq);
<> 144:ef7eb2e8f9f7 257 all_disabled = (obj->uart->IER & (1 << other_irq)) == 0;
<> 144:ef7eb2e8f9f7 258
<> 144:ef7eb2e8f9f7 259 if (all_disabled)
<> 144:ef7eb2e8f9f7 260 NVIC_DisableIRQ(irq_n);
<> 144:ef7eb2e8f9f7 261 }
<> 144:ef7eb2e8f9f7 262 }
<> 144:ef7eb2e8f9f7 263
<> 144:ef7eb2e8f9f7 264 /******************************************************************************
<> 144:ef7eb2e8f9f7 265 * READ/WRITE
<> 144:ef7eb2e8f9f7 266 ******************************************************************************/
<> 144:ef7eb2e8f9f7 267 int serial_getc(serial_t *obj) {
<> 144:ef7eb2e8f9f7 268 while (!serial_readable(obj));
<> 144:ef7eb2e8f9f7 269 return obj->uart->RBR;
<> 144:ef7eb2e8f9f7 270 }
<> 144:ef7eb2e8f9f7 271
<> 144:ef7eb2e8f9f7 272 void serial_putc(serial_t *obj, int c) {
<> 144:ef7eb2e8f9f7 273 while (!serial_writable(obj));
<> 144:ef7eb2e8f9f7 274 obj->uart->THR = c;
<> 144:ef7eb2e8f9f7 275 }
<> 144:ef7eb2e8f9f7 276
<> 144:ef7eb2e8f9f7 277 int serial_readable(serial_t *obj) {
<> 144:ef7eb2e8f9f7 278 return obj->uart->LSR & 0x01;
<> 144:ef7eb2e8f9f7 279 }
<> 144:ef7eb2e8f9f7 280
<> 144:ef7eb2e8f9f7 281 int serial_writable(serial_t *obj) {
<> 144:ef7eb2e8f9f7 282 return obj->uart->LSR & 0x20;
<> 144:ef7eb2e8f9f7 283 }
<> 144:ef7eb2e8f9f7 284
<> 144:ef7eb2e8f9f7 285 void serial_clear(serial_t *obj) {
<> 144:ef7eb2e8f9f7 286 obj->uart->FCR = 1 << 1 // rx FIFO reset
<> 144:ef7eb2e8f9f7 287 | 1 << 2 // tx FIFO reset
<> 144:ef7eb2e8f9f7 288 | 0 << 6; // interrupt depth
<> 144:ef7eb2e8f9f7 289 }
<> 144:ef7eb2e8f9f7 290
<> 144:ef7eb2e8f9f7 291 void serial_pinout_tx(PinName tx) {
<> 144:ef7eb2e8f9f7 292 pinmap_pinout(tx, PinMap_UART_TX);
<> 144:ef7eb2e8f9f7 293 }
<> 144:ef7eb2e8f9f7 294
<> 144:ef7eb2e8f9f7 295 void serial_break_clear(serial_t *obj) {
<> 144:ef7eb2e8f9f7 296 obj->uart->LCR &= ~(1 << 6);
<> 144:ef7eb2e8f9f7 297 }
<> 144:ef7eb2e8f9f7 298
<> 144:ef7eb2e8f9f7 299 void serial_break_set(serial_t *obj) {
<> 144:ef7eb2e8f9f7 300 obj->uart->LCR |= 1 << 6;
<> 144:ef7eb2e8f9f7 301 }